Search    ENTER KEYWORD
MSDS Material Safety Data Sheet
CAS

N/A

File Name: engineering_ucsb_edu---Final_Thesis.asp
                UNIVERSITY OF CALIFORNIA

Santa Barbara




A Nanoscale Composite Material for Enhanced Damage Tolerance in

Micro and Nano-Electro-Mechanical Systems and Structures




A Dissertation submitted in partial satisfaction of the

requirements for the degree of Doctor of Philosophy

in Mechanical Engineering



by

Alok Paranjpye



Committee in charge:

Professor Noel C. MacDonald, Chair

Professor Glenn E. Beltz

Professor Kimberly L. Turner

Professor Robert M. McMeeking

Professor Frederick F. Lange



December 2004
A Nanoscale Composite Material for Enhanced Damage Tolerance in

Micro and Nano-Electro-Mechanical Systems and Structures



Copyright 漏 2004

by

Alok Paranjpye




iii
ACKNOWLEDGEMENTS



If this thesis were merely a description of a few years of research at UCSB, it

would perhaps be sufficient to acknowledge the folks who contributed their

knowledge, money, and time in this undertaking. This thesis is more than that, as it

represents the culmination of five years of life as a graduate student, years spent in a

different society, with a different lifestyle than I was brought up in. Years of

engaging in learning, experimentation, discovery, and finding success and failure in

the lab and in life. There are many contributors to these processes, and an

appearance here is only a printed record of the gratitude that I will always owe to all

of them.

My advisor Professor Noel MacDonald, who gave me the opportunity to pursue

this work, provided a free and supportive research environment and gave me

valuable lessons on focus, determination and self-sufficiency. My advisor Professor

Glenn Beltz, who has been as much of a friend as a mentor, and who always

provided ample inspiration, insight, support and assistance in every way possible.

The staff in various labs, particularly Brian Thibeault, Bill Mitchell, Kirk Fields

and Dave Bothman who kept the equipment running and provided the invaluable

practical suggestions that let me (and so many other students) graduate in time.

Co-workers and office mates in the MacDonald and Beltz Research Groups: Lori

Callaghan, David Follman, Adam Pyzyna, Garrett Cole, Marco Aimi, Seth

Boeshore, Yanting Zhang, Emily Parker, Masa Rao, Patrick McGarry and



iv
Margherita Chang for all the brainstorming and feedback on research ideas, but just

as much for providing a sense of belonging, conversation, camaraderie, excellent

excuses for friday happy hours, horseplay in the office, and a sympathetic forum for

complaining about grad school and the cleanroom. In general, for helping to

maintain the perspective and sanity that really gets things done.

My friends from college (mostly residents of ftop-west) who, having moved on to

jobs in the real world, made it seem bad enough that being in school seemingly

interminably didn鈥檛 seem so bad. It鈥檚 fantastic that in spite of being scattered around

the world, we continued to stay in touch and kept abreast of each other鈥檚 lives.

Rachel, for providing so much support, encouragement, laughter, fun, and

delicious corn muffins. It sure was easier to get work done with a bellyful of those.

My parents, for their enormous contribution of unconditional encouragement,

support and love. The weekly phone call to India providing affirmation that

somebody understood my work less, yet cared about it more than me was always a

good spur to action. My brother Sameer, who helped me understand exactly why I

was pursuing a Ph.D. by asking me the question every time I saw him.




I couldn鈥檛 have done this without you. Thank you all.




v
VITA OF ALOK PARANJPYE

December 2004

EDUCATION

Bachelor of Technology in Materials and Metallurgical Engineering, Indian Institute
of Technology, Kanpur, May 1999

Master of Science in Mechanical and Environmental Engineering, University of
California, Santa Barbara, December 2000

Graduate Certificate in Management Practice, University of California, Santa
Barbara, December 2004

Doctor of Philosophy in Mechanical and Environmental Engineering, University of
California, Santa Barbara, December 2004

PROFESSIONAL EMPLOYMENT

2000-2004: Graduate Teaching Assistant, Department of Mechanical and
Environmental Engineering, University of California, Santa Barbara

2000-2004: Graduate Research Assistant, Department of Mechanical and
Environmental Engineering, University of California, Santa Barbara

1999: Graduate Research Assistant, Donald Bren School of Environmental Science
& Management, University of California, Santa Barbara

Summer 2002: Consultant, Advanced Bionics Corporation, Valencia

Summer 1998: Intern, Schlumberger Wireline and Testing, Rajahmundry, India

2004-2005: Advisory Panel Member, University of California, Santa Barbara
Extension

PUBLICATIONS

Alok Paranjpye, Glenn E. Beltz and Noel C. MacDonald, 鈥淎 Nanoscale Composite
Material for high fracture resistance鈥? in preparation, intended submission to Applied
Physics Letters

Alok Paranjpye, Glenn E. Beltz, and Noel C. MacDonald, 鈥淎n Analytical Model for
the Effect of Elastic Modulus Mismatch on Laminate Threshold Strength鈥?,



vi
Submitted for review, Modeling and Simulation in Material Science and
Engineering, 2004

Alok Paranjpye, N.C. MacDonald and G.E. Beltz, 鈥淎 Nanoscale Composite Material
for Enhanced Damage Tolerance in MEMS Applications鈥?, NSTI Nanotechnology
Conference and Trade Show, Boston, 2004

Alok Paranjpye, Glenn E. Beltz, and Noel C. MacDonald, 鈥淎n Analytical Model for
the Effect of Elastic Modulus Mismatch on Laminate Threshold Strength鈥?, Materials
Research Society Fall Meeting, Boston, 2003

Fabio Albano, Bin Hu, Michael L. Falk, Alok Paranjpye, Glenn Beltz, 鈥淪imulations
of the Failure Modes of Model Metallic Glasses鈥?, Material Research Society Fall
Meeting, Boston, 2002

R.E. Steinberger, Alok Paranjpye, and P.A. Holden, 鈥淓xopolymer production by
Pseudomonas aeruginosa utilizing hexadecane in sand and liquid culture鈥?, Abstracts
of the 100th General Meeting for the American Society for Microbiology, 2000, 100,
555.

Alok Paranjpye, B. Bierwagen, S. Sirivithayapakorn, A. A. Keller and P. A. Holden,
鈥淯nderstanding seasonal variation of bioavailability of residual NAPL in the vadose
zone鈥?, Bioremediation Research Program Review, 1999, 29.

S. Astha, R. Balasubramaniam and A. Paranjpye, 鈥淥n the Passivation of Iron
Aluminides by Addition of Tungsten鈥?, Journal of Materials Science Letters, 18
(1999) 1555.


AWARDS

Best Poster Award at Material Research Society Fall Meeting, Boston, 2002




vii
ABSTRACT



A Nanoscale Composite Material for Enhanced Damage Tolerance in

Micro and Nano-Electro-Mechanical Systems and Structures



by



Alok Paranjpye



A laminar composite material with alternating layers of residual compressive

and tensile stresses has previously been shown to offer enhanced tolerance to

fracture in macroscale ceramic components. In this work, a similarly damage-

tolerant composite material with micro and nano-scale laminae has been developed

as an alternative to monolithic silicon for the fabrication of Micro-Electro-

Mechanical Systems (MEMS).

The motivation for this work arises out of the repeated mechanical failure of

prototype MEMS-based microscale surgical tools when subject to shock or impact

loads, in spite of rigorous design features for minimizing such failures. This behavior

can be attributed to the low fracture toughness of silicon and is a general

characteristic of brittle materials, particular ceramics. Fittingly, the solution

proposed here is inspired by earlier research in the ceramics community.




viii
Structures of a Silicon and Silicon Oxide laminar composite were fabricated

with micrometer range laminae widths. This represents a model, scalable material

system due to the covalent bonded interface between the laminae materials. Tests

performed on these cantilevers to measure their fracture properties, showed higher

minimum fracture stresses displayed by composite cantilevers in comparison with

identical monolithic silicon structures. Moreover, these minima match well with the

鈥渢hreshold鈥? stress, a lower bound on the fracture stress of this composite predicted

from theoretical considerations.

A more complete model for the fracture properties of this material was also

developed, removing an important assumption of the existing theory, which limits its

application to some material systems. The updated theory models the effect of the

laminar structure of the composite as an effective anisotropy in its properties with

regard to stress fields around any cracks in the material. The predictions from this

model are shown to better replicate results from finite element simulations of

laminate geometries than the original model.

Finally, the laminae widths in the composite are reduced to the sub-100-

nanometer range. A novel process flow for the fabrication of composite structures

with these size scales is developed, which has applications for size reductions of

microscale devices in general. Fracture tests performed on these 鈥渘ano-composites鈥?

shows their effectiveness in preventing failure due to pre-existing flaws in structures.




ix
TABLE OF CONTENTS

1. Background and Motivation.....................................................................................1

1.1 MEMS Tools for Microsurgery Applications ......................................2

1.2 Mechanisms for enhancing the toughness of brittle materials ...........10

1.3 Summary ............................................................................................12

1.4 Figures and Illustrations.....................................................................14

1.5 References ..........................................................................................20

2. A Microscale Composite Material .........................................................................25

2.1 Experimental Background..................................................................25

2.2 Fracture Toughness Model.................................................................26

2.3 The Silicon : Silicon Dioxide System ................................................28

2.3.1 An "Ideal" Composite System...................................................30

2.4 Experimental Procedures ...................................................................31

2.4.1 Microfabrication Process ..........................................................33

2.4.2 Fracture Testing ........................................................................35

2.5 Results ................................................................................................36

2.5.1 Experimental Measurements of Young's Modulus ..................37

2.5.2 Fracture Strength Measurements...............................................39

2.6 Summary ............................................................................................43

2.7 Tables .................................................................................................44

2.8 Figures and Illustrations.....................................................................46

2.9 References ..........................................................................................62




x
3. Modeling the Threshold Stress Phenomenon.........................................................65

3.1 Introduction ........................................................................................65

3.2 An Orthotropic Laminate ...................................................................66

3.3 Results and Discussion.......................................................................69

3.3.1 Application to a MEMS composite system...............................71

3.3.2 Optimization of Geometrical Parameters..................................72

3.4 Conclusions ........................................................................................74

3.5 Figures and Illustrations.....................................................................77

3.3 References ..........................................................................................86

4. Scaling Composites to the Nanoscale ....................................................................87

4.1 Motivation ..........................................................................................87

4.2 Process Flow for Nanoscale Composite Structures ...........................88

4.3 Fracture Testing Method ....................................................................96

4.4 Results and Discussion.....................................................................100

4.5 Summary ..........................................................................................107

4.6 Tables ...............................................................................................109

4.7 Figures and Illustrations...................................................................110

4.8 References ........................................................................................126

5. Concluding Remarks ............................................................................................128

6. Appendix ..............................................................................................................130

6.2 The Oxidation of Silicon..................................................................130

6.2 Process Flow for Microscale Composite Cantilevers ......................132

6.3 Process Flow for Nanocomposite Cantilevers .................................133


xi
LIST OF FIGURES

Figure 1.1: SEM micrograph of a first generation single crystal silicon tweezer. The
length of the two gripping arms of the tweezer is 6mm.
Figure 1.2: ANSYS simulation of prototype tweezer tool, showing the maximum
strain expected during the deformation required to close the tweezer arms.
Figure 1.3: Apparatus for testing prototype tweezer device. The load cell is visible in
the extreme right of the image. The wire with the 90-degree bend at the end is used
to actuate the devices and record the applied loads.
Figure 1.4: Ashby chart showing the fracture toughness of Silicon relative to other
engineering and natural materials. The shaded band in the bottom right corner
indicates the lower threshold on fracture toughness, based on the propagation of
cracks by breaking atomic bonds. The proximity of silicon to this lower threshold
indicates the lack of mechanisms for the lowering the stress intensity at the crack tip.
Figure 1.5: Second-generation microtweezer devices. One of the devices is being
mechanically actuated. A number of different designs were developed, each with
different length of the flexure spring over which the deflection strain is distributed.
Figure 1.6: Six different designs of freestanding microtweezer tools. These scanning
electron micrographs show the devices as fabricated on the silicon substrate.
Figure 2.1: Fracture testing results on an Alumina-Mullite laminate. The fracture
strength is seen to be independent of initial crack size for the composite, but
inversely related to crack size for the monolithic ceramic structures.
Figure 2.2: A schematic of the crack geometry and residual and applied stresses
assumed in the mathematical modeling. The crack is assumed to just extend into the
compressive layers. The stress superposition scheme used to obtain the crack tip
stress intensity factor is also indicated here.
Figure 2.3: Schematic of the variation of the extension stress required to extend a
crack with the crack length. The extension stress required drops as the initial crack
length increases, but only until the compressive layer is reached. The extension
stress increases as more of the crack lies in the compressive layer, culminating in the
threshold stress when the crack has almost extended through the compressive layer.
Figure 2.4: The range of threshold stress predicted from the model for the
microcomposites fabricated. The threshold stress rises sharply as the size scale of the
layers is reduced. Also noticeable is the absence of a maximum in the threshold
stress values over the range of layer widths shown.
Figure 2.5: Threshold stresses obtainable in micro/nanocomposites fabricated using
advanced lithography techniques.


xii
Figure 2.6: Projection of Si/SiO2 interface cross section, illustrating the extra half-
planes of Si that terminate at the interface of -cristobalite.
Figure 2.7: Schematic of processing steps required in the fabrication of
microcomposite cantilevers.
Figure 2.8: A schematic of the microcomposite cantilever and the relative alignment
of the suspension via. The composite extends beyond the support point of the
cantilever, ensuring that the point of highest stress is not at the junction of the
composite and monolithic silicon.
Figure 2.9: The instrumentation used for performing the bend tests on the
microcomposite cantilevers.
Figure 2.10: Two stereomicroscope images of the wedge shaped indenter tip and a
composite cantilever. The image on the right shows the cantilever being bent by the
indenter. A second cantilever is visible on the other side of the die.
Figure 2.11: Schematic of a cantilever beam bending under end-point loading. The
various parameters used in the cantilever equations are shown here.
Figure 2.12: A comparison of the non-dimensionalized load-deflection curves for a
simply supported cantilever beam, obtained with an without the assumption of small
end-point deflection.
Figure 2.13: Experimentally measured values of Young鈥檚 Modulus for the composite
and monolithic silicon materials, along with trends from a model assuming uniform
strain in all layers when deformed.
Figure 2.14: Scanning Electron Micrograph of a crack extended across a composite
cantilever. The crack is seen to be deflecting slightly at each of the oxide/silicon
interfaces. This deflection works as an energy absorbing mechanism, increasing the
crack path length in comparison to a straight crack.
Figure 2.15: Scanning Electron Micrograph of a crack extended across a composite
cantilever. These cracks are seen to be deflecting considerably the oxide/silicon
interfaces.
Figure 2.16: Comparative fracture strength data for the composite material and
monolithic silicon. The monolithic silicon structures are indicated by an
oxide/silicon layer width ratio of zero. The minimum stress values at fracture are
much lower for the monolithic silicon structures as compared to the composite
structures.
Figure 3.1: A schematic of the bi-material laminate geometry; 2-3 is the plane of
transverse isotropy for this model. The elastic problem is solved for a uniform stress
applied one by one along the x, y, and z-axes to obtain the compliance matrix.



xiii
Figure 3.2: A comparison of the predictions from the homogeneous analytical
model, the finite element simulations and the anisotropic model for the threshold
strength versus normalized fracture toughness at a layer width ratio of 1.
Figure 3.3: A comparison of the predictions from the homogeneous analytical
model, the finite element simulations and the anisotropic model for the threshold
strength versus normalized fracture toughness at a layer width ratio of 2.5.
Figure 3.4: The range of threshold strengths obtainable from microscale lamina
width composites, as predicted from the updated model.
Figure 3.5: Results from the updated model for threshold strengths obtainable using
advanced lithography techniques to fabricate nanometer-scale laminae width
composites.
Figure 3.6: A comparison of the experimental test results on the microscale
composites with the predictions from the updated model for threshold strengths. The
minimum fracture stresses measured in composites of various geometries are seen to
be much closer to the predictions from the updated model.
Figure 3.7: Variation of the normalized threshold strength with layer width ratio at
different size scale of layer widths. Comparative results from both analytical models
are presented.
Figure 3.8: Variation of the normalized threshold strength with layer width ratio at
different size scale of layer widths. Comparative results from both analytical models
are presented.
Figure 3.9: Variation of the normalized threshold strength with layer width ratio at
millimeter-scale layer widths. Comparative results from both analytical models show
little variation at these size scales.
Figure 4.1: Threshold stresses predicted for composites with sub-100 nanometer
layer widths. These numbers are generated from the theoretical model described in
Chapter 3.
Figure 4.2: A schematic of the process flow used for fabricating nanocomposite
microcantilever structures.
Figure 4.3: 鈥淶ero-width鈥? line patterns for a nanocomposite cantilever (left) and a
monolithic silicon cantilever (right) as written in a polymer film using an electron
beam. The 鈥渮ero width鈥? lines in the pattern emerge as narrow trenches in the resist
on development.
Figure 4.4: Scanning Electron Micrograph of the cross section of a patterned
electron beam resist film on an SOI substrate. The top film, with a thickness of ~350
nm is the resist. The SOI substrate has been thermally oxidized to grow the ~300nm



xiv
oxide film lying underneath the resist. The device silicon layer, the buried oxide
layer, and the handle silicon can also be seen in the image.
Figure 4.5: Scanning Electron Micrograph of the cross section of the substrate with
the pattern transferred into a mask oxide layer. The remnants of the resist can be
seen on top of the oxide layer. The small width of the trenches in the oxide is
notable. The device silicon layer, the buried oxide layer, and the handle silicon can
also be seen in the image.
Figure 4.6: Scanning Electron Micrograph of high aspect ratio trenches etched into
the silicon device layer. The trench widths are approximately 50 nanometers. The
mask oxide left over after etching is visible on the substrate surface.
Figure 4.7: SEM image of the cross section of oxide-filled trenches, forming the
alternating compressive and tensile layers in a block of composite material.
Figure 4.8: A schematic of the optical mask pattern (in red) of two cantilevers and
their alignment to the underlying trench pattern on the substrate. The optical mask
protects the entire cantilever and a small border around it during subsequent etching
processes. These silicon borders are then removed in an isotropic etch, during which
the structure is protected by the oxide covering the sidewalls of the boundary trench
pattern.
Figure 4.9: SEM image of an unreleased cantilever structure. The final desired
structure is buried between the top resist layer, and the buried oxide layer just
underneath. The scalloping from the anisotropic BOSCH etch used to etch into the
handle layer is clearly visible in the sidewalls.
Figure 4.10 (a) and (b): Two SEM images of a suspended cantilever structure with
the protective photoresist and oxide layers. The final cantilever structure sandwiched
between the layers is clearly visible in the bottom image.
Figure 4.11 (a) and (b): SEM images of final suspended nanocomposite cantilevers.
The size of the final structures conforms very well to initial design due to the
sidewall trench etch and oxidation utilized in the process flow.
Figure 4.12: SEM image showing the undercutting of the cantilever beam support
structure occurring during the fabrication process. This non-idealized geometry may
alter the load displacement characteristic of these structures from those of an
geometrically perfect cantilever beam.
Figure 4.13: Finite Element Model of the undercut support structure of a cantilever
beam.
Figure 4.14: Fracture strength results from tests on the thermal oxide masked
cantilevers. The composite structures of all layer width ratios display strengths




xv
higher than the predicted threshold strength. The strength displayed by the
monolithic silicon structures is remarkable.
Figure 4.15: Fracture testing results from the chemical vapor deposition generated
oxide masked structures. The minimum fracture strength displayed by the composite
structures is higher than the predicted threshold strength. The minimum fracture
strength of the monolithic silicon structures is lower, around 1000 MPa.
Figure 4.16: A comparison of the fracture properties of the thermal oxide masked
structures and the CVD oxide masked structures. The fracture strength of the
composites does is not altered significantly, while the fracture strength of the
monolithic silicon structures is markedly different for the two processing methods.




xvi
1. Background and Motivation

The miniaturization of mechanical systems offers an endless variety of

possibilities for scientific and technological progress. Microsystems technology

today offers a wide variety of inherently smaller devices and systems that are lighter,

faster and more precise than their macroscopic counterparts. Micro-Electro-

Mechanical Systems (MEMS) consist of dynamic, microscale structures in

combination with the actuators and the electronics to control these structures. MEMS

is an enabling technology, that allows the development of smart products by

augmenting the computational ability of microelectronics with the perception and

control capabilities of microsensors and microactuators to greatly expand the space

of possible designs and applications. A complete system-on-a-chip consists of the

microelectronic control circuitry, which forms the 鈥渂rains鈥? of the system, the

microsensors to sense the environment (the eyes, ears, etc) and the microactuator

devices (the arms and legs) to influence and control material in and around the

system. Sensors gather information from the environment by measuring mechanical,

thermal, biological, chemical, optical, and magnetic phenomena. Electronics process

this information through some decision-making algorithm, and direct the actuators to

respond appropriately by one or more of moving, positioning, regulating, pumping,

or filtering actions, thereby controlling the environment for some desired outcome.

Finally, because MEMS devices are manufactured using batch fabrication techniques

similar to those used for integrated circuits, unprecedented levels of functionality,



1
reliability, and sophistication can be placed on a small silicon chip at a relatively low

cost.


1.1 MEMS Tools for microsurgery applications

One exciting new application for MEMS technology lies in the possibility

making miniaturized 鈥渟mart鈥? tools for surgical applications. Microscale smart

surgical tools can benefit many surgical operations where microscale or cellular level

tissue manipulations are required [1.1]. For example, an often-performed procedure

like retinal surgery requires the manipulation of only a few layers of retinal cells.

More examples of cellular scale removal of cells include epithelial cell transplants,

plastic surgery, corneal incisions and skin grafts. These procedures require the

surgeon to have a very high level of control over the tissue manipulation being

performed. Moreover, such procedures often involve accessing and manipulating to

tissues deep inside the body, which may be arduous for a surgeon to achieve

manually. Another consideration is patient trauma caused by the surgical procedure

itself, and reducing this often involves minimizing the size of the external incisions

that the surgeon makes to access internal tissues and organs. As a result, such

procedures nowadays often employ a mechanical device under the control of the

surgeon to operate on the actual tissue. Such so-called 鈥渒ey hole鈥? surgery devices

involve making only tiny incisions on the patient鈥檚 body to access internal organs

[1.2]. More sophisticated robotic surgery tools have the surgeon control the

mechanical portion of the tool through a computer [1.3, 1.4].




2
The intrinsic benefits of such a system stem from the greater capabilities of

mechanisms and computers over humans at specific tasks. Mechanisms surpass

humans both in terms of manipulative precision as well as strength, and may also be

designed to operate in environments what would be harmful to the human body.

Computer controlled robotic surgery mechanisms offer capabilities like scaling

between the surgeon鈥檚 movements and the tool movements, and filtering out

undesirable movements such as hand tremors [1.5]. On the other hand, robotic

mechanisms are also inferior to human capacities at certain tasks. The number of

degrees of freedom in a human arm greatly exceeds that of most robotic

manipulators. Most importantly, human fingers have sensory capabilities (often

called haptic feedback) that can obtain force, texture, viscosity and temperature

information about the surgery site. The challenge, then, is to leverage the benefits of

robotic technology such that it amplifies the surgeon鈥檚 skills along certain

dimensions, while not interfering with the surgeon鈥檚 innate skills along others. Some

advantageous additions to current methods would be the availability of site-specific,

real-time data about various parameters such as applied force, temperature etc. [1.6].

This could even include sensory perceptions not available through human haptic

feedback such as detection of toxins, immunological response and biological

signatures for cancerous cells.

One approach to achieving this aim is to augment the existing mechanically

controlled surgery tools with sensory capabilities. This may be achieved by bonding

sensors onto existing tools, but this approach carries the drawback of requiring



3
assembly and packaging, which is expensive, and introduces some reliability

problems [1.7]. MEMS technology allows the enhancement of tool functionality by

integration of the mechanical component of the surgical tool with control, sense and

communication functions in one miniaturized package. The first step in the

development of such an integrated system is the design and fabrication of simple

tweezer-like tools. Figure 1.1 shows a first generation design of a single crystal

silicon tweezer. The lateral beams that are anchored to the substrate support the

tweezers. The arms of the tweezer are fully released from the substrate and are free

to move. The device has been designed to be actuated by mechanical methods. The

portions of the tweezer arms below the supporting beams are pushed apart by pulling

on a circular disc positioned between them. This causes the supporting beams to

bend and the gap between the tweezer arms to close. The stored strain energy in the

support beams then separates the tweezer arms when the tension on the wire is

released.

The prototype tweezer tool was designed to distribute the strain required to

move the arms from an open to closed position over a large length of support beam.

From simulations (Figure 1.2) the strain in any part of the structure was not expected

to exceed a value of 2.76E-4, which is below the known fracture strain for silicon

microelements [1.8]. The device was tested in a tensile testing apparatus (Figure

1.3), which pulled on a short length of steel wire to actuate the device. During testing

it was found that over 70% of devices failed before enough displacement had been

achieved to close the tweezer arms. The support beams of the structure would



4
fracture suddenly, and the stored strain energy caused the unattached tweezer arm to

be thrown a short distance. A number of devices tested in identical fashion did not

fracture in this manner and would open, close and grip objects as designed. One

possible explanation for the inconsistency in strain-to-fracture values for different

specimen devices is that the small differences in processing parameters employed for

different samples. This possibility was ruled out when two adjacent tweezer devices,

processed identically on the same die were found to exhibit widely separated values

of displacement (and hence, strain) before fracturing.

This random distribution of strain-to-fracture on identical geometries

indicated a similar random distribution of fracture stress for different samples of the

material. Such behavior is typically displayed by brittle materials such as ceramics

and inorganic glasses. The concept of a certain characteristic applied stress leading

to fracture is meaningless for such materials. The failure behavior of these materials

has a statistical component to it, and the failure of a specific specimen is determined

by the distribution and shape of inherent flaws in the specimen. Therefore, the

fracture behavior of such materials is often couched in terms of probability of

failure. A number of approaches have been developed for this [1.9, 1.10, 1.11, 1.12],

with that due to Weibull [1.13] being the most commonly applied. Most ceramic

materials contain pre-existing defects within them or on their surfaces. This is an

artifact of the manufacturing techniques, and or the result of later handling and

machining of the ceramic element. For example, ceramics are often manufactured by

powder processing with the attendant residual porosity acting as a collection of



5
internal flaws. These internal defects in the material cause local regions of stress

much higher than that in the material bulk, due to forces applied at the material

boundaries. Such internal flaws therefore are precursors to material failure, even

when the bulk applied stress is lower than the stress required for individual bond

breakage.

The microtweezer devices are manufactured from a single crystal silicon

substrate wafer, which ideally is completely defect-free. However, the lithography

and etching processes used to manufacture the microtweezers can leave sharp angles

cavities and recesses on the device surface, which may act as surface flaws [1.14].

Moreover, bulk silicon has an extremely low value of fracture toughness (Figure 1.4)

of around 0.9 MPa.m1/2 [1.15]. This low value indicates a paucity of plastic flow

mechanisms at the highly stressed regions around crack tips. As a result, even

relatively short cracks build up enough stress at their tip to break the atomic bonds

and extend the crack, leading to catastrophic failure. It is interesting to note the

position of bulk single crystal silicon in the hierarchy of fracture toughness. Silicon

occupies a position with materials like pottery, glass and common rocks as its

neighbors. A materials designer would hardly ever conceive of producing tensile

stress bearing flexure devices from these materials. Yet, bulk silicon is often the

material of choice for MEMS structures because of its pre-eminence in terms of

processing infrastructure and the possibility of integration with the associated IC

electronics that it offers.




6
A second generation of mechanically actuated microtweezer tools was

developed keeping the lessons learned from the first generation in mind. In the new

scheme, the length of the strain bearing flexure portion of the tweezer was extended

significantly. A concentric spiral geometry (Figure 1.6) was designed with the

intention of equally distributing the strain required for deflection over a much longer

length, thereby reducing the net strain in any section of the flexure to a minimum.

The other innovation in these designs was to make them free standing, not requiring

a section of substrate to anchor the tweezer arms. A mechanism for measuring the

force exerted by the tweezer arms when gripping an object was also included in the

design for future development. Figure 1.5 shows a number of second-generation

microtweezer devices, one of them being actuated mechanically. A number of

different designs were developed, each with a different length of flexure element

over which the deflection strain is distributed. The spring thickness was also varied

to obtain the most robust possible design. Scanning electron micrographs of various

designs, taken during the fabrication process are shown in Figure 1.6.

The second-generation devices showed improved mechanical behavior

during subsequent testing. Lowering the strain over the flexure element greatly

enhanced reliability when design loads were applied to the device. As shown in

Figure 1.5, the tweezer arms came together to close the tweezers when actuated

using an external, manually controlled macroscopic actuation device. The load was

applied by simply pressing the macroscopic actuation structure between finger and




7
thumb and was therefore not well controlled. However, the microtweezers displayed

remarkable mechanical stability even under this loading method.

Unfortunately, even after the use of such conservative design methodology

had reduced device failure to a minimum under design load, the low fracture

toughness continued to manifest itself. In this case, when the microtweezers were

accidentally bumped against another object, or otherwise subject to any kind of

shock or impact load, they would fracture and fragment unexpectedly. This mode of

failure is acceptable in the laboratory, but would be completely unacceptable in

service conditions. The possibility of the device fragmenting under an accidental

impact load makes it unacceptable for application as a surgery tool for operating on

live subjects. In view of the low long-term biocompatibility of silicon [1.16, 1.17],

this possibility becomes even more undesirable.

A number of possible solutions to this problem can be contemplated. One

possibility is packaging the device to somehow reduce its susceptibility to shock

loads. This strategy, however, does not permit the device to interact directly with

tissues, and makes it harder to implement the sensory functionalities that have been

planned for such devices. Other strategies include coating the device in with a more

biocompatible layer, or even perhaps incorporating it in a system where the

possibility of an impact load is minimized. None of these, however, are ideal in

terms of addressing the fundamental mechanical instability of the material. Another

possibility would be to alter the microfabrication process so that process induced

damage that leads to failure is minimized. This may be worthwhile to pursue, but



8
imposing process limitations at the prototype stage is likely to cause problems later

on. The addition of complex functionality will require more processing steps, and it

may not always be possible to sufficiently reduce the damage from all these steps.

Another example of the mechanical unreliability of processed silicon

structures making them unsuitable for final deployment in impact loaded structures

is found in a Micro-Aerial-Vehicle development project [1.18]. The researchers on

this project rejected silicon as a material for the wing frames in favor of metal

because the SCS wings shattered every time the micro-aerial-vehicle crash-landed.

In this case, an alternate materials selection solution was adapted as the best way (at

least in the short term) to alleviate the problems due to mechanical failure of silicon

structures. This approach, however, does introduce an additional constraint. The

metal wing structures and the silicon-based fuselage have to be assembled to

complete the fabrication process for the micro-aerial-vehicle. This requirement

compromises the batch fabrication paradigm that has played such a large part of the

success of today鈥檚 IC industry. This paradigm is one of the cornerstones of the low-

cost MEMS development effort. Moreover, the idea of assembling silicon MEMS-

based sensors on to microtweezer structures fabricated from a different material

takes us back to the packaging and reliability problems alluded to earlier.

A solution to this issue is sought, that would improve on the mechanical

reliability of the tweezer structures, without seriously affecting the fabrication

methods that need to be employed. The bulk properties of metals and ceramic can be

tailored significantly by altering the material microstructure i.e. without altering



9
processing methods very much, and without losing other desirable properties of the

material. Ceramics materials are particularly relevant because of their inherent brittle

nature. The solution proposed here is inspired by an elegant method developed in the

ceramics community to limit the probabilistic nature of fracture in brittle ceramic

materials.


1.2 Mechanisms for enhancing the toughness of brittle materials

Ceramic materials, in spite of their brittle nature, are widely employed as

engineering materials in a wide variety of applications. This is due to a number of

successful efforts to improve the toughness of ceramics. One method is to control the

size of flaws introduced into the material during processing. During powder

processing of the ceramic, a slurry of the material is dispersed and passed through a

filter [1.19]. The fineness of the filter ensures that only heterogeneities smaller than a

critical value can pass through, thus determining the size of the largest flaw that can

be present in the material. Other methods for toughening attempt to dissipate the

external work done in fracture away from the creation of crack surfaces.

Methodologies that have been investigated to achieve this aim include the creation of

transformation zones around a propagating crack [1.20], the use of crack deflecting

fibers [1.21], and the growth of anisotropic grains [1.22].

The concept of applying residual compressive stress to increase the resistance

to crack propagation in ceramic materials was first used to mitigate surface flaws

introduced during machining [1.23, 1.24, 1.25]. Thin films with residual




10
compressive stresses deposited on ceramic surfaces have been shown to improve the

fracture properties by requiring higher applied loads to extend cracks through the

surface layer [1.26]. An extension of this concept involves building multilayered

ceramic structures with regions of compressive stress in the bulk of the material

[1.27, 1.28, 1.29]. Regions of residual compressive stress in a material can be

effective at improving brittle material reliability by acting as 鈥渃rack traps鈥?. The

effective driving force extending a crack is reduced when the crack tip enters one of

these compressive stress zones. Specifically, thin compressive layers within a

laminar bi-material ceramic have been shown to be effective at trapping large

surface and internal cracks [1.30, 1.31]. The damage tolerance and improved

reliability is manifested in terms of a 鈥渢hreshold鈥? stress below which the probability

of failure is zero.

This approach lends itself well to improving the fracture properties of

microfabrication-processed silicon for a number of reasons. Firstly, thin layers of

silicon dioxide sandwiched between thicker layers of silicon, when assembled at

high temperature, will develop a high compressive stress on cooling to room

temperature. Secondly, mathematical modeling and finite element simulation of this

geometry has shown that reducing the thickness of the compressive layers and their

separation enhances the damage tolerance phenomenon significantly [1.32]. This

makes this method particularly conducive for application to microsystems, where

extremely narrow features can be fabricated with relative ease. Tools currently

accessible for microfabrication allow structures to be made with minimum feature



11
sizes below 100 nanometres. Moreover, the process flow envisaged for developing

this composite integrates seamlessly with more general fabrication methods for

MEMS devices, without requiring a new substrate material, or fabrication tools and

techniques. There also the hope of gaining a better understanding of the phenomenon

of damage tolerance due to regions of compressive stress in a material by studying it

while utilizing micron and nanometer scale compressive regions.


1.3 Summary

This dissertation describes the efforts to develop a fracture resistant

silicon/silicon dioxide nanoscale composite material for MEMS applications. It is

organized into chapters based on the various stages of the investigation, which

include both experimental and theoretical aspects. Chapter 2 describes the theory

developed by Rao et al. [1.31] for describing the properties of a laminar composite

material with alternating layers of tensile and compressive stress and the application

of this theory to a Silicon-Silicon oxide system. This chapter also details the

fabrication methods employed for fabricating structure of this composite, with

micron scale alternating layers. It concludes with a description of the testing methods

and results obtained from this microscale composite. Chapter 3 further develops the

theory for modeling this phenomenon, and describes a method to obtain a closed

form analytical expression for the 鈥渢hreshold stress鈥? property of this composite. This

section builds on the analysis done by Rao et al. [1.31] and Hbaieb [1.33], while

discarding some of the simplifying assumptions in their analysis. Chapter 4 describes




12
the extension of fabrication methods to develop a truly nanoscale composite

material, with layer widths as small as 50 nanometres. This is followed by a

description of the testing methods employed, and the properties measured for this

nanoscale composite in this chapter. Chapter 5 concludes this dissertation with some

concluding remarks that sum up the most important findings obtained during the

course of this work. The appendices at the end provide details of the fabrication

methods employed in this study for the benefit of future investigators.




13
1.4 Figures and Illustrations




Figure 1.1: SEM micrograph of a first generation single crystal silicon tweezer.
The length of the two gripping arms of the tweezer is 6mm.




14
Figure 1.2: ANSYS simulation of prototype tweezer tool, showing the maximum
strain expected during the deformation required to close the tweezer arms.




15
Figure 1.3: Apparatus for testing prototype tweezer devices. The load cell is
visible in the extreme right of the image. The wire with the 90 degree bend at
the end is used to actuate the devices and record the applied loads.




16
Figure 1.4: Ashby chart showing the fracture toughness of Silicon relative to
other engineering and natural materials. The shaded band in the bottom right
corner indicates the lower threshold on fracture toughness, based on the
propagation of cracks by breaking atomic bonds. The proximity of silicon to this
lower threshold indicates the lack of mechanisms for the lowering the stress
intensity at the crack tip




17
Figure 1.5: Second generation microtweezer devices. One of the devices is being
mechanically actuated. A number of different designs were developed, each with
different length of the flexure spring over which the deflection strain is
distributed.




18
Figure 1.6: Six different designs of free-standing microtweezer tools. These
scanning electron micrographs show the devices as fabricated on the silicon
substrate.




19
1.5 References

S. Charles, Vitreous microsurgery, 2nd ed. Baltimore, Madison: Wilkins and
[1.1]
Williams, 1987.


M. J. Mack, "Minimally invasive and robotic surgery," Jama-Journal of the
[1.2]
American Medical Association, vol. 285, pp. 568-572, 2001.


[1.3] T. Falcone, J. Goldberg, A. Garcia-Ruiz, H. Margossian, and L. Stevens, "Full
robotic assistance for laparoscopic tubal anastomosis: A case report," Journal
of Laparoendoscopic & Advanced Surgical Techniques-Part A, vol. 9, pp.
107-113, 1999.


[1.4] J. M. Sackier and Y. Wang, "Robotically assisted laparoscopic surgery - from
concept to development," Surgical Endoscopy-Ultrasound and Interventional
Techniques, vol. 8, pp. 63-66, 1994.


[1.5] H. Reichenspurner, R. J. Damiano, M. Mack, D. H. Boehm, H. Gulbins, C.
Detter, B. Meiser, R. Ellgass, and B. Reichart, "Use of the voice-controlled
and computer-assisted surgical system zeus for endoscopic coronary artery
bypass grafting," Journal of Thoracic and Cardiovascular Surgery, vol. 118,
pp. 11-16, 1999.


[1.6] J. W. Ward, D. P. M. Wills, K. P. Sherman, and A. Mohsen, "The
development of an arthroscopic surgical simulator with haptic feedback,"
Future Generation Computer Systems, vol. 14, pp. 243-251, 1998.


[1.7] I. S. Son, A. Lal, B. Hubbard, and T. Olsen, "A multifunctional silicon-based
microscale surgical system," Sensors and Actuators a-Physical, vol. 91, pp.
351-356, 2001.



20
[1.8] G. L. Pearson, W. T. Read, and W. L. Feldmann, "Deformation and fracture
of small silicon crystals," Acta Metallurgica, vol. 5, pp. 181-191, 1957.


D. McLean, Mechanical properties of metals. New York: Wiley, 1962.
[1.9]


[1.10] J. Murzewski, "A probablistic theory of plastic and brittle behaviour of quasi-
honogeneous materials," Archiwum Mechaniki Stosowanej, vol. 12, pp. 203,
1960.


[1.11] Z. Hashin, "Applied mechanical surveys." New York: Spartan, 1966, pp. 272.


[1.12] A. M. Freudenthal and M. Reiner, "A law of work-hardening," Journal of
Applied Mechanics-Transactions of the Asme, vol. 15, pp. 265-273, 1948.


[1.13] W. Weibull, "A statistical distribution function of wide applicability," Journal
of Applied Mechanics-Transactions of the Asme, vol. 18, pp. 293-297, 1951.


[1.14] K. Sooriakumar, Wendy Chan, Timothy S. Savage, and C. Fugate, "A
comparative study of wet vs. Dry isotropic etch to strengthe silicon
micromachined pressure sensor," presented at Second international
symposium on microstructures and microfabricated systems, 1995.


[1.15] K. Yasutake, M. Iwata, K. Yoshii, M. Umeno, and H. Kawabe, "Crack healing
and fracture strength of silicon-crystals," Journal of Materials Science, vol.
21, pp. 2185-2192, 1986.


[1.16] D. J. Edell, V. V. Toi, V. M. McNeil, and L. D. Clark, "Factors influencing
the biocompatibility of insertable silicon microshafts in cerebral-cortex," Ieee
Transactions on Biomedical Engineering, vol. 39, pp. 635-643, 1992.




21
[1.17] G. Voskerician, M. S. Shive, R. S. Shawgo, H. von Recum, J. M. Anderson,
M. J. Cima, and R. Langer, "Biocompatibility and biofouling of mems drug
delivery devices," Biomaterials, vol. 24, pp. 1959-1967, 2003.


[1.18] T. N. Pornsin-sirirak, Y. C. Tai, H. Nassef, and C. M. Ho, "Titanium-alloy
mems wing technology for a micro aerial vehicle application," Sensors and
Actuators a-Physical, vol. 89, pp. 95-103, 2001.


[1.19] F. F. Lange, "Powder processing science and technology for increased
reliability," Journal of the American Ceramic Society, vol. 72, pp. 3-15, 1989.


[1.20] A. H. Heuer, F. F. Lange, M. V. Swain, and A. G. Evans, "Transformation
toughening - an overview," Journal of the American Ceramic Society, vol. 69,
pp. R1-R4, 1986.


[1.21] A. G. Evans and D. B. Marshall, "The mechanical-behavior of ceramic matrix
composites," Acta Metallurgica, vol. 37, pp. 2567-2583, 1989.


[1.22] G. Himsolt, H. Knoch, H. Huebner, and F. W. Kleinlein, "Mechanical-
properties of hot-pressed silicon-nitride with different grain structures,"
Journal of the American Ceramic Society, vol. 62, pp. 29-32, 1979.


[1.23] D. J. Green, "Compressive surface strengthening of brittle materials," Journal
of Materials Science, vol. 19, pp. 2165-2171, 1984.


[1.24] D. J. Green, "Compressive surface strengthening of brittle materials by a
residual-stress distribution," Journal of the American Ceramic Society, vol.
66, pp. 807-810, 1983.




22
[1.25] R. Tandon and D. J. Green, "Crack stability and t-curves due to macroscopic
residual compressive stress profiles," Journal of the American Ceramic
Society, vol. 74, pp. 1981-1986, 1991.


[1.26] J. C. Lambropoulos, "Toughening and crack tip shielding in brittle materials
by residually stressed thin-films," Journal of Vacuum Science & Technology
a-Vacuum Surfaces and Films, vol. 9, pp. 2503-2509, 1991.


[1.27] A. V. Virkar, J. L. Huang, and R. A. Cutler, "Strengthening of oxide ceramics
by transformation-induced stresses," Journal of the American Ceramic
Society, vol. 70, pp. 164-170, 1987.


[1.28] A. V. Virkar, J. L. Huang, and R. A. Cutler, "Toughening of alumina
composites using residual-stresses," American Ceramic Society Bulletin, vol.
63, pp. 992-992, 1984.


[1.29] M. F. Amateau, B. Stutzman, J. C. Conway, and J. Halloran, "Performance of
laminated ceramic composite cutting tools," Ceramics International, vol. 21,
pp. 317-323, 1995.


[1.30] M. P. Rao and F. F. Lange, "Factors affecting threshold strength in laminar
ceramics containing thin compressive layers," Journal of the American
Ceramic Society, vol. 85, pp. 1222-1228, 2002.


[1.31] M. P. Rao, A. J. Sanchez-Herencia, G. E. Beltz, R. M. McMeeking, and F. F.
Lange, "Laminar ceramics that exhibit a threshold strength," Science, vol.
286, pp. 102-105, 1999.




23
[1.32] R. M. McMeeking and K. Hbaieb, "Optimal threshold strength of laminar
ceramics," Zeitschrift Fur Metallkunde, vol. 90, pp. 1031-1036, 1999.


[1.33] K. Hbaieb and R. M. McMeeking, "Threshold strength predictions for laminar
ceramics with cracks that grow straight," Mechanics of Materials, vol. 34, pp.
755-772, 2002.




24
2. A Microscale Composite Material


2.1 Experimental Background

As described earlier, regions of residual compressive stress in a material can

be effective at improving material reliability by acting as 鈥渃rack traps鈥?, because the

driving force for extending a crack is lowered when a crack enters one of these zones.

Rao et al. demonstrated that thin compressive layers within a laminar bi-material

ceramic were effective at trapping large surface and internal cracks.

In the experiments by Rao et al. [2.1, 2.2], a composite laminate was formed

by fusing together alternate layers of different alumina and mullite fractions. The

thicker layers in the composite had widths in the range of 550碌m to 650碌m and the

narrow layers had widths in the range of 30碌m to 70碌m. The layers are assembled

and fused at high temperature before cooling and testing at room temperature. The

differential thermal expansion between the two materials causes residual stresses to

build up in the composite, with alternate layers having biaxial tensile and

compressive stresses. The layered material was pre-cracked prior to testing under

different loads and indenters, with the resulting pre-cracks arranged to run

perpendicular to the plane direction of the layers. As seen in Figure 2.1, under

subsequent flexural loading tests that loaded these pre-cracks in Mode I, it was found

that component strength was independent of the initial crack size. Moreover, a

threshold stress did indeed exist, with no failure occurring below a certain stress




25
level. In contrast, similarly treated monolithic ceramic components displayed strength

that varied inversely with the pre-crack size.


2.2 Fracture Toughness Model

Rao et al. [2.2] also developed a model for estimating the stress intensity

factor at the tip of a crack running across multiple layers of a laminate composite

structure with alternating layers of residual biaxial compressive and tensile stress. The

laminate geometry and alternating residual stresses enable the composite structure to

have a threshold stress before failure. These residual stresses may evolve due to one

or more of a number of physical phenomena, such as differential thermal expansion

between layers, phase transformations, an increase in molar volume because of

chemical reactions, or in general, any phenomenon that leads to a strain mismatch

between alternate layers. The model by Rao assumes compressive layers of thickness

t1 with a residual compressive stress and tensile layers of thickness t2 with a
C



tensile stress . The biaxial residual stresses in the layers are given [2.3] by:
T


1
t E'
'
E 1 1 1'
C 1
t2 E2
(2.1)
t1
T C
t2

where T . The parameter is the differential in the coefficients of thermal

expansion of the two materials and T is the temperature difference between testing

(or service) temperature and a temperature where the thermal residual stresses are

zero. Ei' ) is an effective Young鈥檚 modulus, derived from the actual
Ei /(1 i




26
Young鈥檚 modulus Ei and Poisson鈥檚 ratio of materials 1 (compressive layer) and 2
i



(tensile layer). The model further assumes a crack spanning a tensile layer and just

extending into the two compressive layers on either side, as well as a tensile load

applied parallel to the layers and perpendicular to the crack, as shown in Figure 2.2. A

stress superposition scheme (also shown in Figure 2.2) is employed to derive the

stress intensity factor at the crack tip. An important limitation of the model lies in its

assumption of an elastically homogenous system in deriving the crack tip stress

intensity. The expression obtained for the stress intensity factor, for a half-crack

length a is:

t1 2 t2
1
K a a 1 sin 1 (2.2)
a c
t2 2a

Equation (2.2) shows that the stress intensity factor at the crack tip is lowered

as a larger fraction of the crack length lies in the two compressive layers on either

side of the tensile layer. This implies that the applied stress required for extending the

crack increases as the crack penetrates further into the compressive layers. This effect

is schematically illustrated in Figure 2.3. Therefore, the threshold strength is the

applied stress required to extend the crack as the crack length just spans a tensile

layer and the two compressive layers on either side. Setting 2a 2t1 and K
t2 KC

of the compressive layer material, the threshold strength is given by:

Kc t1 2 t2
1
1 1 sin (2.3)
thr c
t2 t2 2t1
t2 2t1
1
t2
2




27
This result is validated further by analysis which shows that the value of

threshold stress for a crack initially restricted to the tensile layer is lower than its

value when the crack extends into the compressive layers [2.4].

The various factors that determine the magnitude of the threshold stress

obtained in a bi-material system are (i) The critical stress intensity factor (or the

fracture toughness) of the compressive layer material (ii) The magnitude of the

compressive stress, which depends on the strain mismatch, and the elastic properties

of the two materials in the system. (iii) The layer width ratio t1 t2 and (iv) the layer

size scale, which may be represented by either of the two layer thicknesses, t1 or t2 .

For a given material system, the first two parameters are fixed by the material

properties and the processing performed to obtain a strain mismatch. The last two are

controllable parameters, and may be controlled and optimized to obtain a desired

value of threshold stress. Moreover, one notices that the layer size scale factor is

raised to a residual negative exponent in the expression for threshold stress. This

implies that the smaller the scale of the layer widths, the higher is the range of

threshold stress that can be obtained. This idea will be revisited when the relevant

model data in the context of the specific material system under consideration is

presented.


2.3 The Silicon : Silicon Dioxide System

The material system under consideration consists of alternating thin layers of

silicon dioxide with a residual biaxial compressive stress sandwiched between wider




28
layers of silicon with a residual biaxial tensile stress. The strain mismatch originates

from the differential in the thermal expansion coefficients of the two materials, as the

system is cooled to room temperature from the process temperature. The relevant

material properties for all the component materials are shown in Table 2.1 [2.5, 2.6].

For a Si-SiO2 composite, the strain mismatch is assumed to start to build up

below 960 C. It has been shown that above this temperature, flow mechanisms are

active enough to redistribute material to avoid build up of thermal mismatch stresses

as silicon oxide films as they are cooled [2.7, 2.8]. From(2.1), the maximum residual

compressive stress that can be generated is approximately 200 MPa, which is

relatively low. However, a composite system based around silicon does allow the

great advantage of using silicon microfabrication techniques for making these

composites. Silicon microfabrication technology easily allows laminates to be

fabricated with layers in the range of 1-10碌m. More advanced techniques for

lithography and patterning are capable of achieving even smaller linewidths, in the

sub-100 nanometer range. Consequently, in spite of the relatively low residual

stresses in this system, the threshold stresses obtainable can be extremely high. For

the first generation of microcomposites with layer widths between 1碌m to 30碌m, the

threshold stresses predicted from the model range from 400-600 MPa as shown in

Figure 2.4. The threshold stresses obtainable in composites with layer widths below

100-nanometers range from 1.5 鈥? 2 GPa, as shown in Figure 2.5.




29
2.3.1 An 鈥淚deal鈥? Composite system

The use of silicon and silicon dioxide as the materials for the alternating laminae

lends a unique property to this composite material system. In most 鈥渃onventional鈥?

composite materials, where one or more heterogeneities have been introduced to

tailor the properties of the system, the interface between multiple phases greatly

influences the final properties of the material. Material scientists often have to go to

great lengths to ensure that the interfacial interactions between the phases do not

detract from the desired mechanical property interactions between the materials that

give the composite system its final properties. In the case of the laminated composites

developed by Rao et al. interfacial debonding is a concern for laminates with very

high values of residual stress [2.9]. The silicon/silicon dioxide system used in this

work has two advantages (i) relatively low values of residual stress, and (ii) an model

interface between the alternating layers of the two materials.

The process of oxidation of silicon, used for growing the compressive layers by

trench oxidation, is described in detail in the appendix. The important consideration

in the process is that the chemical reaction leading to oxide growth always takes place

at the interface of the silicon and the oxide film on it, leading to an extremely clean

interface. Moreover, earlier research has concluded that silicon atoms diffuse in a

very thin layer (~2nm) into the oxide film near the interface and undergo chemical

reaction with the incoming oxygen [2.10, 2.11, 2.12]. This leads to a continuous,

covalently bonded structure at the interface, and the material transitions from a silicon

lattice, to multiple crystalline phases of silica, into the eventual amorphous silica in



30
the bulk of the film, as shown in Figure 2.6. This covalently bonded interface makes

this a model material system, where the role of the compressive stressed regions in

delaying the propagation of cracks can be studied at various size scales, without

having to consider the influence of the material interface.


2.4 Experimental Procedures

To test the extension of the concepts developed by Rao et al. at the

microscale, standard bulk silicon microfabrication techniques are used to fabricate

cantilevers of a microscale composite material. The fracture strength of these

composites is then measured by performing bend tests on these cantilever structures.

The cantilevers are bent until failure, and the fracture strength is computed from the

force applied and displacement measured at the point of failure. This method has been

used before by several researchers for measuring the fracture properties of a number

of materials [2.13, 2.14, 2.15, 2.16, 2.17]. Monolithic silicon cantilevers are

fabricated on the same die along with the composite material cantilevers to allow a

comparison of the fracture strength of the two materials. The fabrication methods

used for these microcomposites are such as to fit seamlessly into standard silicon

microfabrication processes, to allow MEMS and microdevices to be made out of

these composites. Each composite cantilever is 1.5mm long, 2mm wide and 40 m

thick and consists of a large number of alternating layers of silicon and thermally

grown silicon oxide. The monolithic silicon cantilevers have identical dimensions, but

lack the regions of silicon dioxide.




31
At room temperature, the silicon layers have a residual biaxial tensile stress in

them, and the compressive layers have a residual biaxial compressive stress arising

from the mismatch in the thermal expansion coefficients of the two materials. The

oxide compressive layers act as barriers to crack propagation, as has been described

in the mathematical model for these composites. The threshold stress required to

extend a crack through the compressive layers increases with the residual

compressive stress, and varies inversely with the widths of the silicon and oxide

layers. The widths of the layers are varied to explore the effect of both of these

parameters on the crack arrest properties.

Each die consists of two sets of composite cantilevers, with each set having a

constant compressive layer thickness with varying tensile layer thicknesses. The first

set has compressive (oxide) layers of 1.78碌m thickness, with tensile layers of

1.71碌m, 4.21碌m and 14.21碌m. The second set has compressive layers of 3.57碌m

thickness, with tensile layers of thicknesses such as to obtain identical layer width

ratios as in the first set. This allows us to independently measure the variation in

properties with the parameters of layer width ratio (or, residual compressive stress)

and the absolute size scale of the layers. A third set consists of monolithic silicon

cantilevers of identical dimensions as the composite cantilevers. Having all of these

structures on one die ensures there is no bias in the influence of processing and

handling conditions towards any of the different materials. A table of the various

geometries fabricated, along with the fracture properties predicted from the model, is

shown in Table 2.2.



32
2.4.1 Microfabrication Process

A schematic representation of the fabrication process employed for fabricating

the cantilever structures is shown in Figure 2.7. The starting material is in the form of

4-inch diameter single crystal silicon (SCS) wafers. These wafers are ~550碌m thick,

and are highly polished on both sides. The first step is the deposition of a Plasma

Enhanced Chemical Vapor Deposition (PECVD) silicon oxide layer, which acts as an

etch mask for subsequent steps. This layer is then coated with a thin (~2碌m) layer of

a photosensitive polymer, which acts as a mask for pattern transfer into the mask

oxide layer. This photosensitive polymer is exposed to ultraviolet radiation through a

mask, which only permits the radiation to illuminate certain regions of the polymer

layer. The chemical change in the polymer due to radiation exposure allows the

polymer to be dissolved away in a developer solution in these regions, to allow access

to the underlying layers. The 鈥渙pen鈥? regions in the mask define the oxide layers, as

well as cantilever geometries for these structures. The underlying oxide is now etched

in a CHF3 and Argon plasma, which selectively removes oxide in the exposed regions

without damaging the polymer above, thus transferring the mask pattern into the

oxide layer.

After patterning the mask oxide, the silicon underneath is etched by Deep

Reactive Ion Etching (DRIE) using the BOSCH庐 process. The Bosch process allows

high aspect ratio etching of silicon while maintaining vertical sidewalls. The opening

in the mask oxide layers are thus transformed into deep trenches in the silicon wafer.

These trenches are ~40碌m deep on these samples, and define the ultimate thickness of



33
the microcomposite cantilevers. The wafers are then thermally oxidized at 1100 C in

a steam and nitrogen atmosphere. When silicon is oxidized, the resulting SiO2

occupies a larger volume (2.27 times) than the silicon it consumes [2.18, 2.19]. This

effect is utilized to fill the gaps between the silicon beams to form a solid material

block of the layered composite. A subsequent high temperature (1100 C) anneal in

nitrogen allows the SiO2 grown from both sides of the trench to flow and form a SiO2

layer without an interface running midway through it. The wafers are then slowly

cooled down to room temperature. On cooling to room temperature, the differential

thermal expansion between the Si and SiO2 layers causes residual stresses to build up

in the two component materials of the composite. The residual stresses, however,

only build up below ~960 C, the glass transition temperature of SiO2, below which it

ceases to flow and relieve the stresses.

A pattern of vias is then aligned with the cantilevers on the other side of the

wafer. These vias serve to remove all the silicon below the depth to which the oxide

layers penetrate. The vias are sized and aligned such that the composite structure

extends beyond the step on which they rest. Figure 2.8 shows a schematic of the

composite cantilever structure, and the via underneath. The alignment of the vias

ensures that the composite structure extends beyond the fixed end of the cantilevers,

so that the point of highest tensile stress is not at the boundary between the composite

structure and monolithic silicon.

A similar process flow as on the front side of the wafer (Photolithography,

Oxide mask pattern transfer, DRIE silicon etch) is followed, with close depth control



34
during the final stages of the silicon etch. The vias are etched from the backside using

silicon DRIE to release the cantilevers from the wafer. The backside release process

defines the bottom side roughness of the cantilevers obtained. Therefore, very careful

control of this step is necessary to ensure that the desired dimension is obtained in all

of the cantilevers, and that the bottom of the structures is as smooth as possible. Even

though the tools available for DRIE allow for simultaneous processing of about 30

dies on a 4鈥? wafer, the variability in the etch rate across the wafer implies that only a

single die can be processed at one time in the final release process. In the final step,

the cantilevers are freed from the thin oxide film that covers the floor of the via by

dipping them in hydrofluoric acid, which quickly dissolves the membranous floor

oxide film.


2.4.2 Fracture Testing

Fracture testing on the cantilevers is performed on a modified Tukon庐

microhardness tester, shown in Figure 2.9. A microhardness tester is well suited for

performing fracture testing on these cantilevered samples because testing can be done

under load control while keeping the displacement rate as low as desired to avoid any

shock loading that may lead to fracture. The drawbacks are the lack of an ability to

measure displacement directly, and to obtain real time load-displacement data. The

microhardness testing apparatus is modified to use a indentation tip consisting of a

2mm wide tungsten carbide wedge that allows a uniform load to be applied across the

width of the cantilevers. The second modification is a laser extensometer attached to

the instrument, which enables displacement measurement with up to 1碌m accuracy.


35
Loading is done under gravity and varying loads are applied simply by placing

different small masses (1 gm - 100 gm) on top of the indenter. Precise positioning of

each cantilever sample is made possible by use of a micrometer-controlled x-y table

and a stereoscopic microscope. Figure 2.10 shows two images captured through this

stereoscopic microscope. The image on the left shows the wedge shaped indentation

tip positioned just about a composite cantilever, and the image on the right shows the

same cantilever being bent by a load applied through the indentation tip.


2.5 Results

The first phase of testing involves verifying the accuracy of the experimental

setup and the model to be used to transform the load-to-fracture data obtained into

fracture strength data. For this purpose, various light loads are applied to the end of

the cantilever beams, and the displacement at the point of load application measured.

This data is compiled into a load-displacement curve for each sample. A simply

supported cantilever beam model, assuming linear elastic material behavior is used to

obtain the Young鈥檚 modulus for each sample. The cross section of the cantilevers is

assumed to remain uniform over the length, and their height is assumed to correspond

to the height as measured from scanning electron micrographs after fracturing one of

the samples and imaging the cross section.




36
2.5.1 Experimental measurements of Young鈥檚 Modulus

For a simply supported cantilever beam with end-point loading as shown in

Figure 2.11, the Bernoulli-Euler equation states that the bending moment is

proportional to the beam curvature, as shown in (2.4)

d 2 y dx 2
d
M EI EI (2.4)
2
ds dy dx ]3 2
[1



where y is the transverse deflection and x is the coordinate along the undeflected

2
beam axis. When deflections are small, the square of the slope, dy dx can be

assumed to be small in comparison to unity. This simplifies the moment curvature

equation greatly, and yields the well-known equations for beam bending.

1 FL3 FL3 4 FL3
(2.5)
end
bh3 Ebh3
3 EI
3E
12

If the full Bernoulli-Euler equation is solved, without assuming small deflections, the

results emerge in the form of elliptical integrals as in (2.6)

2F d
l
EI sin sin
0
(2.6)
2F d
sin
end
EI sin sin
0




where is the angle of dip at the free end of the cantilever. However, these integrals

can be numerically evaluated at closely spaced values of , to obtain a characteristic



37
curve for beam bending that is more applicable than the linear model, especially at

large beam deflections. A fourth order polynomial fit to this curve gives us a more

simplistic equation for the characteristic load-displacement curve from this full

model, thus avoiding the cumbersome elliptical integrals otherwise obtained. A

comparison of the results from the two models is shown in Figure 2.12. It is

informative to see that the variance between the linear model and this model starts to

get fairly large, even at seemingly small displacement and end point angles. This

characteristic curve can now be utilized to analyze the load-displacement data

obtained experimentally, and to extract useful parameters (like the Young鈥檚 Modulus)

from it.

To fit the experimentally obtained load-displacement data to this characteristic

curve, the data is first non-dimensionalized to convert it in the form of the parameters

L vs. FL2 EI ). This non-
in which the characteristic curve is expressed (

dimensionalized data is then fitted to the characteristic equation, while modulating the

value of Young鈥檚 Modulus (E) used, until the best fit is obtained.

The Young鈥檚 moduli obtained for various samples are shown in Figure 2.13.

The solid line represents the comparative theoretical values of modulus for

composites with different layer thickness ratios assuming uniform strain across

various layers. A uniform strain model computes the Young鈥檚 Modulus of the

composite material as a weighted sum of the moduli of the two component materials.

The weights of each component are identical to their volume fractions in the

composite.



38
The measured valued of Young鈥檚 moduli are found to approach the values

predicted from a constant strain model, assuming a Young鈥檚 modulus of 130 GPa for

silicon and 50 GPa for silicon oxide. This validates the testing procedure and the

model that will be used to obtain fracture strengths from the applied load-to-fracture

data.


2.5.2 Fracture Strength Measurements

The fracture strength of the composite material as well as the monolithic

silicon was measured by performing load-to-fracture measurements on the cantilever

beams fabricated from each material. Each cantilever beam was bent by the wedge

indenter with the load being provided by a mass placed on the load pan of the

microhardness testing apparatus. The cantilever bends under load control, with the

displacement rate (approximately 7.5 碌m/sec) being controlled by a hydraulic dashpot

built into the instrument. This ensures that fracture does not occur due to shock

loading. Each cantilever was initially positioned to be loaded at the end by the wedge

indenter. The load was incrementally applied by increasing the mass on the load stage

until the sample fractured. Due to the limitations of the instrument, the sample has to

be unloaded completely before repeating the load cycle with an incremented mass. As

a result, the samples that fail at a high load go through 50-100 loading cycles. This

may be a sufficient number of cycles for fatigue to play a role in crack growth. In this

analysis, it has been assumed that fatigue does not play a significant role.

The testing apparatus also has an upper limit of 0.45mm on the indenter

movement in the direction of the cantilever displacement. This implies that the


39
maximum end-point deflection that can be applied to each cantilever is 0.45mm,

which implies a deflection to length ratio of 0.3, which is often too low to cause

failure. To overcome this, once the limit is reached without fracture occurring, the

indenter is moved 0.1mm closer to the support point of the cantilever to achieve a

higher stress with the same deflections limit, and the whole cycle is repeated.

The first indications of the efficacy of the laminate geometry with residual

compressive stress layers for influencing cracks going across the laminates was seen

in images of the crack path and crack surface on fractured samples examined in a

scanning electron microscope. Figure 2.14 clearly shows the crack deflecting as it

crosses the interface between a tensile and compressive layer. Each time the crack

encounters a compressive layer, the crack is forced to change direction slightly and

move along a slightly different path. Figure 2.15 shows two more views of the crack

deflection by the narrow oxide layers. Crack deflection is an energy absorbing

mechanism, because it creates more surface area in the crack plane than would be

formed for a crack growing in a straight line perpendicular to the laminar structure.

Cracks in single crystal silicon grow by cleaving apart adjacent atomic planes,

leaving very smooth crack faces. From an energy viewpoint, this represents a higher

toughness value Gc for the composite material in comparison to single crystal silicon.

The results obtained from fracture testing on the cantilever structures are

shown in Figure 2.16. This data indicates the active presence of a damage tolerance

phenomenon in the microscale composite structures. Monolithic silicon cantilevers do

not have any oxide layers in them and are therefore characterized as structures with



40
the oxide/silicon layer width ratio equal to zero. The solid line indicates the threshold

stress for the fabricated layer thickness and width ratio conditions predicted from the

mathematical model. 鈥淎verage fracture stress鈥? indicates the mean of measured

fracture stress for a number of samples of identical layer width characteristics. It was

found that different structures with identical characteristics fractured at varying loads.

This observation is consistent with the low fracture toughness values for silicon as

well as silicon oxide, and the possibility of processing induced damage leaving

randomly distributed microscopic flaws in the structures as alluded to earlier [2.20].

The measured fracture strengths of all of the different geometries are found to be

scattered in the 200MPa - 2GPa range. The scatter range is actually even greater,

since ~2GPa is the upper limit of stress that is generated in the microcantilevers

during the tests. Several structures did not fracture even at this load, and are not

represented in this data set. The average values of fracture strength for each set of

layer width characteristic were found to lie in a small range of 800 MPa 鈥? 1 GPa.

It is notable that while the average fracture strengths for all the samples lie in

a small range, the minimum strength has more variance. The minimum values of

fracture strength for the composite samples are much higher than the corresponding

minimum values for the monolithic silicon structures. Some of the monolithic silicon

cantilevers fail at extremely low stresses (approximately 200 MPa). Moreover, the

minimum measured strength for the composite samples clearly lies above the

predicted threshold strength, while the lowest failure stress in the monolithic silicon is

much lower. These observations suggest the effectiveness of the compressive stress in



41
alternating layers as a strategy for improving the material fracture properties. This

improved performance is postulated to be due to direct crack arrest in the

compressive layers, though this cannot be conclusively confirmed without direct

observation of arrested cracks. Other drivers for this enhancement in fracture

properties may be explained in terms of process and laminate geometry imposed

refinements of the flaw population in the laminates relative to the monolith structures.

An estimate of the flaw size distribution in the fractured monolith samples is

made in order to further to validate the measured fracture data. The crack shape factor

set to 1 in (2.7), derived from the energy condition for a crack to extend in Mode I

loading, to obtain the most conservative values for flaw size.

2
Kc
1
a (2.7)
2
f



The measured values of fracture stress for the monolithic silicon cantilevers

that fail below 2GPa give flaw sizes in the ranging from 0.1 m to 6 m. The

calculation for the crack lengths in the composite structures for the measured fracture

stress is cumbersome and has not been attempted. These are reasonable values for

flaw sizes in a 1.5mm 2mm structure, even though the material starts out being

practically flawless single crystal silicon. Two possible sources of these flaws are

damage during various processing steps and damage to the original silicon substrate

that leaves scratch-like flaws on the surface. A similar flaw size distribution can be

assumed to be present in the composites, especially if damage due to handling is

assumed to be the source of these flaws. The measured values for monolithic silicon



42
are also consistent with observations by other researchers [2.16, 2.21, 2.22, 2.23] on

the fracture strengths of monolithic silicon cantilevers of similar size, both in the

Johansson et al. and Yi et al. in particular
magnitude as well as the spread.

performed tests very similar in nature to those described here. Johansson et al.

focused their attention more on the maximum fracture stress that they could obtain in

a silicon microcantilever, but found some samples failing at 鈥減ractically zero

strength鈥?.


2.6 Summary

The results obtained from fracture testing of the composite and single crystal

silicon cantilevers clearly indicate the presence of a damage tolerance mechanism in

the composite material that prevents it from failing below a certain threshold stress.

As shown earlier in Figure 2.5, the threshold stress achievable varies inversely with

the size scale of the layer widths, and the capability exists for fabricating composites

with layer widths between 50-100 nanometers that, according to the model, would

only fail under stress levels of 1.8-2 GPa.

Preventing failure to such a high level of stress would be invaluable to MEMS

designers, since these devices or structures would not require extensive packaging.

Another example of application is MEMS devices with comparatively short flexures,

since the flexure material would be able to withstand a higher level of stress. Shorter

flexures would reduce the footprint of the device, allowing more devices per chip

area. Subsequent chapters in this dissertation describe work associated with the

development and the fracture testing of nanocomposite cantilevers structures.


43
2.7 Tables

Coefficient of Young鈥檚 Fracture
Material Thermal Expansion Modulus Poisson鈥檚 Toughness
( 10-6 / C) Kc (MPa.m1/2)
(GPa) Ratio
2.60 130 0.25 0.9
Silicon

Silicon
0.50 50 0.16 1.0
Dioxide



Table 2.1: Material Properties for the Silicon-Silicon Dioxide System




44
Beam Trench Tensile Layer Compressive Layer
Predicted
Width Width
Layer Threshold
on on Residual Residual
Thickness Strength
mask mask Thickness Thickness
Stress Stress
Ratio (MPa)
(碌m) (碌m) (碌m) (T)(MPa) (碌m) (C)(MPa)
2.5 1 0.959 1.713 86.41 1.787 82.83 394
5 1 2.358 4.213 41.65 1.787 98.20 333
15 1 7.954 14.213 13.56 1.787 107.84 225

5 2 0.959 3.426 86.41 3.574 82.83 293
10 2 2.358 8.428 41.65 3.574 98.20 250
30 2 7.954 28.426 13.56 3.574 107.84 170




Table 2.2: Geometry and Properties of fabricated materials




45
2.8 Figures and Illustrations




Figure 2.1: Fracture Testing results on an Alumina-Mullite laminate [2.2]. The
fracture strength is seen to be independent of initial crack size for the composite,
but inversely related to crack size for the monolithic ceramic structures.
(Courtesy of Masa Rao)




46
Figure 2.2: A schematic of the crack geometry and residual and applied stresses
assumed in the mathematical modeling. The crack is assumed to just extend into
the compressive layers. The stress superposition scheme used to obtain the crack
tip stress intensity factor is also indicated here.




47
Figure 2.3: Schematic of the variation of the extension stress required to extend
a crack with the crack length. The extension stress required drops as the initial
crack length increases, but only until the compressive layer is reached. The
extension stress increases as more of the crack lies in the compressive layer,
culminating in the threshold stress when the crack has almost extended through
the compressive layer.




48
Figure 2.4: The range of threshold stress predicted from the model for the
microcomposites fabricated. The threshold stress rises sharply as the size scale of
the layers is reduced. Also noticeable is the absence of a maximum in the
threshold stress values over the range of layer widths shown.




49
Figure 2.5: Threshold stresses obtainable in micro/nanocomposites fabricated
using advanced lithography techniques.




50
Figure 2.6: Projection of Si/SiO2 interface cross section illustrating the extra
half-planes of Si that terminate at the interface of -cristobalite.




51
Figure 2.7: Schematic of processing steps required in the fabrication of
microcomposite cantilevers.




52
Figure 2.8: A schematic of the microcomposite cantilever and the relative
alignment of the suspension via. The composite extends beyond the support
point of the cantilever, ensuring that the point of highest stress is not at the
junction of the composite and monolithic silicon.




53
Figure 2.9: The instrumentation used for performing the bend tests on the
microcomposite cantilevers.




54
Figure 2.10: Two stereo microscope images of the wedge shaped indenter tip and
a composite cantilever. The image on the right shows the cantilever being bent
by the indenter. A second cantilever is visible on the other side of the die.




55
Figure 2.11: Schematic of a cantilever beam bending under end point loading.
The various parameters used in the cantilever equations are shown here.




56
Figure 2.12: A comparison of the non-dimensionalized load-deflection curves
for a simply supported cantilever beam, obtained with an without the
assumption of small end-point deflection.




57
Figure 2.13: Experimentally measured values of Young鈥檚 Modulus for the
composite and monolithic silicon materials, along with trends from a model
assuming uniform strain in all layers when deformed.




58
Figure 2.14: Scanning Electron Micrograph of a crack extended across a
composite cantilever. The crack is seen to be deflecting slightly at each of the
oxide/silicon interfaces. This deflection works as an energy absorbing
mechanism, increasing the crack path length in comparison to a straight crack.




59
Figure 2.15: Scanning Electron Micrograph of a crack extended across a
composite cantilever. These cracks are seen to be deflecting considerably at the
oxide/silicon interfaces.




60
Figure 2.16: Comparative fracture strength data for the composite material and
monolithic silicon. The monolithic silicon structures are indicated by an oxide/Si
layer width ratio of zero. The minimum stress values at fracture are much lower
for the monolithic silicon structures as compared to the composite structures.




61
2.9 References

[2.1] M. P. Rao and F. F. Lange, "Factors affecting threshold strength in laminar
ceramics containing thin compressive layers," Journal of the American
Ceramic Society, vol. 85, pp. 1222-1228, 2002.


[2.2] M. P. Rao, A. J. Sanchez-Herencia, G. E. Beltz, R. M. McMeeking, and F. F.
Lange, "Laminar ceramics that exhibit a threshold strength," Science, vol.
286, pp. 102-105, 1999.


[2.3] C. Hillman, Z. G. Suo, and F. F. Lange, "Cracking of laminates subjected to
biaxial tensile stresses," Journal of the American Ceramic Society, vol. 79, pp.
2127-2133, 1996.


[2.4] R. M. McMeeking and K. Hbaieb, "Optimal threshold strength of laminar
ceramics," Zeitschrift Fur Metallkunde, vol. 90, pp. 1031-1036, 1999.


[2.5] K. Yasutake, M. Iwata, K. Yoshii, M. Umeno, and H. Kawabe, "Crack
Healing and Fracture Strength of Silicon-Crystals," Journal of Materials
Science, vol. 21, pp. 2185-2192, 1986.


[2.6] T. P. Weihs, S. Hong, J. C. Bravman, and W. D. Nix, "Mechanical Deflection
of Cantilever Microbeams - a New Technique for Testing the Mechanical-
Properties of Thin-Films," Journal of Materials Research, vol. 3, pp. 931-942,
1988.


E. P. Eernisse, "Viscous-Flow of Thermal SiO2," Applied Physics Letters, vol.
[2.7]
30, pp. 290-293, 1977.


F. P. Eernisse, "Stress in Thermal SiO2 During Growth," Applied Physics
[2.8]
Letters, vol. 35, pp. 8-10, 1979.


[2.9] T. Ye, Z. Suo, and A. G. Evans, "Thin-Film Cracking and the Roles of
Substrate and Interface," International Journal of Solids and Structures, vol.
29, pp. 2639-2648, 1992.




62
[2.10] A. M. Stoneham, C. R. M. Grovenor, and A. Cerezo, "Oxidation and the
Structure of the Silicon-Oxide Interface," Philosophical Magazine B-Physics
of Condensed Matter Statistical Mechanics Electronic Optical and Magnetic
Properties, vol. 55, pp. 201-210, 1987.


[2.11] A. M. Lin, R. W. Dutton, D. A. Antoniadis, and W. A. Tiller, "The Growth of
Oxidation Stacking-Faults and the Point-Defect Generation at Si-Sio Interface
During Thermal-Oxidation of Silicon," Journal of the Electrochemical
Society, vol. 128, pp. 1121-1130, 1981.


[2.12] W. A. Tiller, "On the Kinetics of the Thermal-Oxidation of Silicon .3.
Coupling with Other Key Phenomena," Journal of the Electrochemical
Society, vol. 128, pp. 689-696, 1981.


[2.13] C. J. Wilson and P. A. Beck, "Fracture testing of bulk silicon microcantilever
beams subjected to a side load," Journal of Microelectromechanical Systems,
vol. 5, pp. 142-150, 1996.


[2.14] C. J. Wilson, A. Ormeggi, and M. Narbutovskih, "Fracture testing of silicon
microcantilever beams," Journal of Applied Physics, vol. 79, pp. 2386-2393,
1996.


[2.15] F. Ericson and J. A. Schweitz, "Micromechanical Fracture Strength of
Silicon," Journal of Applied Physics, vol. 68, pp. 5840-5844, 1990.


[2.16] S. Johansson, F. Ericson, and J. A. Schweitz, "Influence of Surface-Coatings
on Elasticity, Residual-Stresses, and Fracture Properties of Silicon
Microelements," Journal of Applied Physics, vol. 65, pp. 122-128, 1989.


[2.17] P. Jones, G. Johnson, and R. Howe, "Statistical Characterization of Fracture of
Brittle MEMS Materials," presented at Proceedings of the SPIE 3880, 2000.


[2.18] S. K. Ghandhi, VLSI Fabrication Principles-silicon and gallium arsenide, 2nd
ed. New York: John Wiley and Sons, 1994.


[2.19] S. M. Sze, VLSI Technology: McGraw Hill Book Company, 1988.




63
[2.20] K. Sooriakumar, Wendy Chan, Timothy S. Savage, and C. Fugate, "A
comparative study of wet vs. dry isotropic etch to strengthen silicon
micromachined pressure sensors," presented at Second international
symposium on microstructures and microfabricated systems, 1995.


[2.21] S. Johansson, J. A. Schweitz, L. Tenerz, and J. Tiren, "Fracture Testing of
Silicon Microelements in-situ in a Scanning Electron-Microscope," Journal of
Applied Physics, vol. 63, pp. 4799-4803, 1988.


[2.22] T. Namazu, Y. Isono, and T. Tanaka, "Nano-Scale Bending Test of Si Beam
for MEMS," presented at Proceedings of the IEEE thirteenth Annual
International Conference on Micro Electro Mechanical Systems, 2000.


[2.23] T. C. Yi, L. Li, and C. J. Kim, "Microscale material testing of single
crystalline silicon: process effects on surface morphology and tensile
strength," Sensors and Actuators a-Physical, vol. 83, pp. 172-178, 2000.




64
3. Modeling the Threshold Stress Phenomenon


3.1 Introduction

The major limitation of the theoretical analysis of the threshold strength

phenomenon so far lies in the assumption of homogeneous elastic properties for the

system of two materials making up the laminate. As such, all predictions made from

these equations are limited in their accuracy when the Young鈥檚 modulus and

Poisson鈥檚 ratio values of the two materials in the laminate are significantly different.

Hbaieb and McMeeking [3.1] conducted finite element simulations of this geometry,

and presented extensive results on the variation of threshold stress with material

modulus ratios. A comparison of the results obtained from the finite element

simulations and the homogeneous model shows the results varying significantly as

the material modulus ratio varies from unity. As new material systems are employed

in this composite geometry, there arises the possibility of optimizing the geometrical

parameters to obtain the highest possible threshold strength under the inherent

limitations of material properties, and technological limitations on the minimum

layer thickness that can be fabricated. Finite element simulations may be used for

this purpose, but this would be a cumbersome process involving constructing many

models with different layer thickness ratios. Consequently, a need exists for an

analytical model for the threshold strength in this system that can incorporate the

effects of the different elastic properties of the two component materials in the

composite.



65
3.2 An Orthotropic Laminate

To obtain a more complete analytical expression for the stress intensity factor

at the crack tip, the bimaterial laminate is treated as an anisotropic material in a

limited sense. The stresses that build up at the crack tip due to loads applied at the

material boundary are assumed to be similar in this laminated composite as they

would be for a crack tip of identical geometry in an anisotropic material. In essence,

the effect of the layers in the structure is lumped together as anisotropy in the elastic

properties of the composite. Tada et al. [3.2] give an expression relating the energy

release rate at the crack tip in an anisotropic material to the stress intensity factor K i

for the corresponding isotropic boundary value problem (3.1).

Gianisotropic C ( K iisotropic ) 2
CK i2
Gi (3.1)

C is computed from the elements of the compliance matrix for the

anisotropic material. The stress intensity factor for the isotropic boundary value

problem is identical to that evaluated under the assumption of homogeneous elastic

properties (2.2), which takes into account the effect of the residual stresses on the

crack tip stress intensity, and which is henceforth referred to as K iisotropic . For the case

of an bimaterial laminate, the material is orthotropic (specifically, transversely

isotropic), and for a crack opening in Mode 1, C is given by (3.2).

1/ 2
2 A12 A66
A11 A22 A22
(3.2)
C
A11 2 A11
2




66
The Aij 鈥檚 in (3.2) are the elements of the compliance matrix. The energy

release rate thus obtained is related to the crack tip stress intensity factor in an

anisotropic material through (3.3) for the plane strain situation that is assumed here.

E1 1
K1anisotropic (G1anisotropic ) 2
(3.3)
2
(1 )
1



The Young鈥檚 modulus and Poisson鈥檚 ratio in (3.3) are values for the material

at the crack tip, which in this case is the compressive layer material. From (3.1) and

(3.3), a factor F is obtained, which relates the crack tip stress intensity in an

anisotropic material to the stress intensity at the tip of an identical crack in an

isotropic material.

K1anisotropic E1C
(3.4)
F
K1isotropic 2
(1 )
1




3.2.1 Constitutive Relations for an Orthotropic Laminate

To obtain the compliance matrix for an orthotropic laminate with transverse

isotropy in the 2-3 plane, the general elasticity problem shown in Figure 3.1 is

solved. Stresses are applied along the material axes of isotropy and the resultant

strains are computed. The ratio of the strains in various directions to the applied

stresses gives the elements of the compliance matrix, related to the material

constants of the individual components of the laminate and their widths. Equation

(3.5) shows the 5 independent elastic constants in the compliance matrix for a

transversely isotropic material.




67
A11 A12 A13 S11 S12 S12
0 0 0 0 0 0
A21 A22 A23 S12 S22 S23
0 0 0 0 0 0
A31 A32 A33 S12 S23 S22
0 0 0 0 0 0
A44 0 2( S22 S23 ) 0
0 0 0 0 0 0 0 0
A55 S66
0 0 0 0 0 0 0 0 0 0
A66 S66
0 0 0 0 0 0 0 0 0 0

(3.5)

where

2 2 2 2
EB t A t B (1 2 A ) E A t A t B (1 B 2 B )
A
S11
E A EB (t A t B )[ EB t B (1 A ) E A t A (1 B )]
(3.6)
2 2
E A EB (t B (1 A ) t A (1 4t A t B
B) B)
A

E A EB (t A t B )[ EB t B (1 E A t A (1
A) B )]



t A A (1 ) t B B (1 )
B A
S12 (3.7)
EB t B (1 E A t A (1
A) B)


2 2
(t A t B )[ EB t B B (1 A ) E A t A A (1 B )]
S 23 (3.8)
22 2 22 2
EB t B (1 2 E A EB t A t B (1 A B ) E A t A (1
A) )
B


2 2
(t A t B )[ EB t B (1 A ) E A t A (1 B )]
S 22 (3.9)
22 2 22 2
EB t B (1 A ) 2 E A EB t A t B (1 A B ) E A t A (1 )
B



2(t A t B )(1 A )(1 )
B
S 44 2( S 22 S 23 ) (3.10)
EB t B (1 A ) E A t A (1 )
B



EB t A (1 A ) E A t B (1 )
B
S66 (3.11)
E A EB (t A t B )




68
The factor F relating K1anisotropic to K1isotropic is calculated using (3.6) - (3.11).

The expression is cumbersome, does not in itself offer any new insights, and is

consequently not presented explicitly here. However, it is amenable to analysis and

manipulation using symbolic algebra software, and has been verified to be equal to

unity when the condition E A EB and is applied. Equation (3.12) shows the
A B



expression for crack tip stress intensity, obtained from (2.2) and (3.4).

E1C t1 2 t2
K anisotropic 1
a a 1 sin 1 (3.12)
a c
2
t2 2a
(1 )
1



As for the homogeneous model, setting the crack length to span one tensile

layer and the compressive layers on either side, an expression for threshold stress

(3.13) is obtained for this model.

(1 12 ) Kc t1 2 t2
1
(3.13)
1 1 sin
thr c
E1C t2 t2 2t1
t2 2t1
1
t2
2


3.3 Results and Discussion

Hbaieb and McMeeking [3.1] conducted an extensive study on modeling the

threshold stress phenomenon in bimaterial laminates using finite element

simulations. They compared the results from their FE model for the crack tip stress

intensity as the crack grew into the compressive layers with the predictions from a

theoretical model assuming homogeneous elastic properties in each case. The

agreement between the two methods established confidence in their method.




69
Furthermore, they found that their calculations for stress intensities at crack tips near

the interfacial boundaries between zones of opposite residual stress matched well

with previously well-established theoretical results. They concluded that the

predictions from their finite element models were likely to be more accurate than

those from the existing theoretical model when the two materials in the laminate had

non-identical elastic properties.

In order to obtain an estimate of the accuracy of the results obtained from

this treatment of a layered composite material as a homogeneous anisotropic

material, the results from the updated model are compared with those obtained

previously from the finite element simulations, along with those from the previous

model. These comparisons are shown in Figure 3.2 and Figure 3.3 for two layer

width ratios. The FEM results were obtained assuming a Poisson鈥檚 ratio of 0.32 for

both materials, and the same values have been used for obtaining predictions from

both analytical models.

The results in [3.1] are corroborated in that the predictions from the

homogeneous analytical model and the FE simulations agree very well when

identical Young鈥檚 moduli values are chosen for the two materials. However, as the

modulus ratio is increased, and the layer width ratio varies from unity, it is clear that

the homogeneous model does not provide adequate accuracy of predictions. The

updated analytical model seems to match the finite element results significantly more

closely. It is worthwhile to note that this analysis affects only the first term of the

original result for threshold strength as seen in (3.13). The first term is independent



70
of any residual stresses in the system, instead reflecting the influence of the critical

stress intensity factor of the compressive layer material, and more importantly, of the

layer width size scale. On a graph of normalized threshold strength versus

normalized critical stress intensity, the first term affects only the slope of the line. As

seen in Figure 3.2 and Figure 3.3, the slopes of the result loci for the finite element

methods and the anisotropic analytical model match are almost parallel in every

case. The y-intercepts of the curves in Figure 3.2 and Figure 3.3 are not influenced

by the modifications to the homogenous model, and lead to an underestimation of

the threshold stress at low normalized critical stress intensity.


3.3.1 Application to a MEMS composite system

The updated model is used to investigate the threshold stresses obtainable in

the silicon and silicon dioxide material system. The model can be applied at any

layer width range of interest to obtain an estimate of the threshold strengths

obtainable. Having a closed form analytical expression allows numerous calculations

to be performed with relative ease, to investigate any optimization of the threshold

strength that may be possible under the limitations of the fabrication process. The

are: ESilicon
material properties assumed in these calculations 150 GPa;

0.16; K cOxide 0.9 MPa.m1/2 . The differential
0.25; EOxide 90 GPa;
Silicon Oxide



strain between the layers is calculated to be 0.189% for the processing temperatures

used in the experimental work. The updated model generally makes higher

predictions for threshold strength than the homogeneous model for the silicon :



71
silicon dioxide system. This is consistent with the fact that the finite element

simulations showed that the homogeneous model generally underestimated the

threshold strength as the modulus mismatch for the two materials increased from

unity. The threshold strengths predicted from the updated model, for microscale

layer width composites, is shown in Figure 3.4. If more advanced lithography

techniques are employed, much higher threshold strengths are obtainable. The

updated model predicts threshold strengths in the 2-3 GPa range for composite

structures with layer widths in the sub-100-nanometer range, as seen in Figure 3.5.

The results from the experimental work [3.3] performed on the microscale

composite cantilevers are also compared to predictions from the updated model.

Figure 3.6 shows this comparison. The updated model predicts values that are much

closer to the experimentally measured minimum fracture stresses for microcomposite

structures with various layer width combinations. This lends further confidence in

the updated model, and in the method of modeling the effect of the laminar structure

of the composite as an effective anisotropy in its properties with regard to stress

fields around any cracks in the material.


3.3.2 Optimization of Geometrical Parameters

Another important advantage of developing an accurate analytical model for

predicting the threshold strength in a bimaterial laminate is the ability to optimize the

achievable threshold for a given set of material properties. Once a material system is

chosen, only the geometrical parameters (i) compressive layer thickness and (ii)




72
tensile layer thickness, i.e., the thickness ratio, are controllable to tailor the

composite properties. These geometric parameters can be very closely controlled,

especially when their width ranges approach the nanometer-scale. It becomes very

useful to be able to optimize the layer width ratio to achieve the highest possible

threshold strength under any limitations that the fabrication methods, and material

system properties may impose.

Figure 3.7 and Figure 3.8 show the variation of the threshold stress with the

ratio of the tensile layer to compressive layer thickness. The plots show comparisons

of the results obtained from the original homogenous model and the modified

anisotropic model for compressive layer widths of 2碌m, 1碌m, 0.5碌m, 100nm and 50

nm. It is significant that as the compressive layer becomes thinner, the homogenous

model predicts monotonically increasing threshold stresses as the layer thickness

ratio drops. The anisotropic model predicts a well-defined maximum in the threshold

stress even for compressive layers approaching the limits of the fabrication process.

It is also noteworthy to look at the threshold stresses obtainable in theory, as

the compressive layer is made extremely thin. A normalized threshold stress value of

11 corresponds to a threshold stress value of greater than 2 GPa. We can compare

these results with the threshold strength鈥檚 achievable when the size scales employed

in the original work on ceramic composites [3.4] (~500碌m) are applied. Figure 3.9

shows the predictions from the two mathematical models when the compressive

layers are 500碌m thick. We see that at larger size scales, the difference between the

predictions from the two models is quite small. Moreover, there is almost no



73
difference in the optimized layer width ratio predicted from the two models to

achieve the highest possible threshold stress. It also agrees with the result from

[3.5] - that the optimal threshold stress in a homogeneous material system at these

layer widths scales is close to 0.3 E1 , and is achieved with a layer width ratio in the

range 1-2.8.

These modifications applied to the original analytical model address the

need for accuracy when two materials of widely varying elastic properties constitute

the laminate. However, this model remains only an approximation to an exact

formulation for a bi-material laminate system. The challenge lies in accurately

modeling the stresses as the crack tip approaches the interface between a

compressive and a tensile layer, since it is this situation that ultimately determines

the threshold stress. The current model has a shortcoming in not making any

allowance for the crack tip approaching an interface, which we believe modifies the

stress distributions at the crack tip [3.6, 3.7, 3.8] and influences the threshold stress

obtainable. We are working on developing an understanding of this situation, and

developing a more complete and accurate description of cracking in bimaterial

laminates.


3.4 Conclusions

We have demonstrated a method for modeling the stress distributions around

a crack in a layered, inhomogenous composite material by treating it, under certain

conditions, as a homogenous, anisotropic material. We model the damage tolerance




74
obtained by introducing layers of regions of compressive stress using this

approximation scheme. We utilize this scheme to improve upon a previous model for

crack behavior that was inadequate when elastic properties throughout the composite

were not uniform. There is a significant discrepancy in results between the

homogenous analytical model and the finite element analysis when the elastic

constant of the two materials do not match, and this becomes more significant as the

layer size scale is reduced. We have compared the results obtained from out

modeling scheme with the previous model, and with independently obtained finite

element simulation results of the same material design scheme. We conclude that our

assumption yields an accurate representation of the damage tolerance phenomenon

observed in such layered composites. The results compare favorably with those from

the previous analytical model, justifying our assumptions. This result also

demonstrates that material inhomogeneity at small size scales can be successfully

incorporated into a material behavior model by treating these inhomogeneities as if

they were distributed uniformly when observed at larger size scales. Of course, in

our case the scheme works particularly well because of the geometrical arrangement

of material inhomogeneity, which lends itself well to treatment as an elasticity

problem with far field stresses.

The modeling strategy presented here represents an important step forward

towards optimization of geometrical parameters for achieving the highest possible

threshold stress in a bimaterial laminate geometry in a given material system. It

allows a straightforward method of predicting the variation in the damage tolerance



75
obtained as the geometrical properties of the composite are modified. We have

applied our model to optimize the geometrical parameters for a silicon-silicon oxide

composite with utility as a robust material for MEMS applications. Residual stress

layer widths for this material lie in the micron and sub-micron range. The nature of

the fabrication process at these layer widths is such that it allows extremely fine

control (<5 nm) over the final widths of each layer. Moreover, the final layer widths

to be obtained are picked right at the beginning of the fabrication process, and the

process parameters themselves are affected by the widths to be obtained. This

analysis is therefore extremely important towards process planning. Optimization of

the layer width ratio shows that even at nanometer scale compressive layer widths, a

tensile/compressive layer width ratio between 0.5 and 1 can achieve the optimal

threshold strength. In addition, at these size scales, the difference between the peak

for threshold strength is relatively sharp, and a difference of ~100 MPa threshold

strength may be obtained by optimizing the layer width ratio as opposed to picking a

width ratio based on other considerations such as ease of fabrication. The results

obtained from our calculations enable us to pursue our goal of obtaining the highest

possible threshold strength from our silicon-silicon oxide material system, even with

very thin compressive layers. Moreover, it is clear that while the homogeneous

model can perhaps be employed without lack of accuracy in predicting the behavior

of a laminate of this nature at conventional size scales, it becomes inadequate when

designing nanometer scale composites that may be useful as MEMS structural

materials.



76
3.5 Figures and Illustrations




Figure 3.1: A schematic of the bimaterial laminate geometry; 2-3 is the plane of
transverse isotropy for this model. The elastic problem is solved for a uniform
stress applied one by one along the x, y, and z-axes to obtain the compliance
matrix.




77
Figure 3.2: A comparison of the predictions from the homogeneous analytical
model, the finite element simulations and the anisotropic model for the
threshold strength versus normalized fracture toughness at a layer width ratio
of 1.




78
Figure 3.3: A comparison of the predictions from the homogeneous analytical
model, the finite element simulations and the anisotropic model for the
threshold strength versus normalized fracture toughness at a layer width ratio
of 2.5.




79
Figure 3.4: The range of threshold strengths obtainable from microscale lamina
width composites, as predicted from the updated model.




80
Figure 3.5: Results from the updated model for threshold strengths obtainable
using advanced lithography techniques to fabricate nanometer-scale laminae
width composites.




81
Figure 3.6: A comparison of the experimental test results on the microscale
composites with the predictions from the updated model for threshold
strengths. The miminum fracture stresses measured in composites of various
geometries are seen to be much closer to the predictions from the updated
model.




82
Figure 3.7: Variation of the normalized threshold strength with layer width
ratio at different size scale of layer widths. Comparative results from both
analytical models are presented.




83
Figure 3.8: Variation of the normalized threshold strength with layer width
ratio at different size scale of layer widths. Comparative results from both
analytical models are presented.




84
Figure 3.9: Variation of the normalized threshold strength with layer width
ratio at millimeter-scale layer widths. Comparative results from both analytical
models show little variation at these size scales.




85
3.6 References

[3.1] K. Hbaieb and R. M. McMeeking, "Threshold strength predictions for laminar
ceramics with cracks that grow straight," Mechanics of Materials, vol. 34, pp.
755-772, 2002.

H. Tada, P. C. Paris, G. R. Irwin, and D. R. Corporation, The stress analysis of
[3.2]
cracks handbook, 2nd ed. St. Louis: Paris Productions, Inc., 1985.

[3.3] A. Paranjpye, N. C. MacDonald, and G. E. Beltz, "A nanoscale composite
material for enhanced damage tolerance in MEMS applications," presented at
NSTI Nanotechnology Conference and Trade Show, Boston, 2004.

[3.4] M. P. Rao, A. J. Sanchez-Herencia, G. E. Beltz, R. M. McMeeking, and F. F.
Lange, "Laminar ceramics that exhibit a threshold strength," Science, vol.
286, pp. 102-105, 1999.

[3.5] R. M. McMeeking and K. Hbaieb, "Optimal threshold strength of laminar
ceramics," Zeitschrift Fur Metallkunde, vol. 90, pp. 1031-1036, 1999.

[3.6] J. L. Beuth, "Cracking of Thin Bonded Films in Residual Tension,"
International Journal of Solids and Structures, vol. 29, pp. 1657-1675, 1992.

[3.7] A. R. Zak and M. L. Williams, "Crack point stress singularities at a bi-
material interface," Journal of Applied Mechanics-Transactions of the ASME,
vol. 30, pp. 142-143, 1963.

[3.8] M. Y. He and J. W. Hutchinson, "Crack Deflection at an Interface between
Dissimilar Elastic-Materials," International Journal of Solids and Structures,
vol. 25, pp. 1053-1067, 1989.




86
4. Scaling Composites to the Nanoscale


4.1 Motivation

As discussed in Chapter 2, the failure of a specimen composed of alternating

microscale layers of silicon and silicon dioxide occurs at elevated levels of stress as

compared to specimens composed of monolithic silicon. This suggests the operation

of a crack arrest phenomenon in the composite material in accordance with the

theory developed in Chapters 2 and 3, and consequently, a certain threshold stress

level below which composite specimens will not fail. The theory predicts that the

threshold stresses achievable in composites of a given material system are a function

of two parameters: (i) the absolute size scales of the alternate layers in the material;

in general the thinner the layers, the higher the threshold stress achievable; and (ii)

the ratio of the layer widths of the tensile and compressive layers, which may be

optimized to yield a maximum threshold stress under limitations on other

parameters.

The threshold stresses achieved in the microscale composite samples lie in

the range of 400-600 MPa. The theory indicates that much higher thresholds, in the

range of 2鈥?3GPa may be achieved in a silicon and silicon oxide system by shrinking

the layer width to the sub-100 nanometer range (Figure 4.1). Fabricating composite

specimens with nanometer size scale presents a considerable challenge in terms of

the processing involved. However, the expected payoffs are significant, both in

terms of developing a material system that offers practical design advantages over




87
single crystal silicon for MEMS devices, as well as the possibility of understanding

any size-scale limits to the continuum based theory for the fracture behavior of these

composites.


4.2 Process Flow for Nanoscale Composite Structures

The lower bound on the size of alternating layers that can be fabricated is

limited by technology at two steps in the fabrication sequence i) Lithography, where

the two-dimensional pattern is laid down on the substrate surface and ii) silicon

etching, where etching high aspect ratio negative features (i.e. trenches) at deep

submicron resolution is a difficult process.

Electron Beam Lithography offers a method for patterning deep submicron

scale etch masks onto a silicon substrate. Linewidths of 100nm are repeatably

obtainable, and 50nm linewidths may be obtained with some process optimization.

Electron Beam lithography is a serial process, involving a 10 nm width electron

beam writing a desired pattern onto a polymer layer sensitive to exposure to high-

energy electrons. Areas of the polymer exposed to electrons can then be selectively

removed in a solvent to create a mask through which underlying layers may be

etched. To overcome the drawback of serial writing and the large associated time

and cost, a process sequence was developed that involves exposing only the

boundaries of the desired structures using the electron Beam, and aligning a second

level optical lithography mask to this pattern before etching the structures. This

process not only significantly reduced electron beam write times and costs, but also




88
provided the possibility of developing true nanoscale electro-mechanical systems

with enhanced functionality. For instance, the comb drives that often serve as the

actuation mechanisms for microscale devices can be reduced in size by an order of

magnitude. This could represent a significant increase in force per unit area that can

be applied by a device, or improved device sensitivity, in case of a sensory element.

Figure 4.2 shows a schematic of the process flow for fabricating

nanocomposite cantilever structures for subsequent fracture testing. The process is

performed on a silicon-on-insulator (SOI) substrate, which consists of a layer of

silicon dioxide sandwiched between two silicon wafers. The silicon layer above the

oxide is referred to as the device layer, and the one below as the handle layer. SOI

substrates are manufactured by a number of processes and sold by numerous

vendors. They can be ordered in customized configurations of layer thicknesses,

dopant concentrations, resistivities, and manufacturing methods. The substrate used

in all the experiments described here were performed on 4-inch SOI substrates with a

1000nm thick silicon device layer, a 400nm thick buried oxide layer and a 500-

550碌m thick silicon handle layer. The substrate was manufactured by wafer

bonding, where two silicon wafers with a thermal oxide on the surface are bonded

together. One of the silicon wafers is then lapped and polished down to the desired

device layer thickness.

The first step in the fabrication process is the patterning the electron beam

resist polymer with the desired pattern. Generally, the e-beam tool is fed a computer

generated pattern file that guides it to expose the desired areas on the sample.




89
However, in this process flow the electron beam (50 KeV) merely writes lines that

define the boundaries of the pattern, as well as the regions where the residual

compressive stress oxide layers will subsequently be formed. Figure 4.3 shows

examples of the patterns programmed into the e-beam tool for writing a composite

and a monolithic silicon cantilever. Even though the e-beam writes 鈥渮ero-width鈥?

lines onto the resist film, the chemical reaction leading to the differential solubility

of the exposed regions occurs in a finite volume around the regions of exposure. The

exact width of the lines thus formed depends upon the thickness of the resist film,

the resistivity of the underlying substrate, the proximity of the adjacent pattern, and

the electron beam energy, current and exposure time. For this substrate, linewidths

ranging from 35nm-120nm can be obtained from 鈥渮ero-width鈥? line patterns by

controlling the beam current and exposure time. A schedule for the dosages required

for various linewidths and proximities is included in the appendix. The resist film is

then selectively dissolved in a solvent which removes the regions exposed to the

electron beam. Figure 4.4 shows a high resolution electron micrograph of the cross

section of a resist film with a 鈥渃omposite鈥? pattern i.e. a number of adjacent trenches

patterned in it.

The pattern in the resist film is then transferred to an oxide film underneath

by etching in an inductively coupled plasma of CHF3 and Argon gas. This step is

necessary because the resist film offers very low etch selectivity with respect to

silicon in the chlorine chemistry that is subsequently used to etch the trench patterns

into the silicon. An oxide film is much more suitable for this task. Both thermal




90
oxidation and chemical vapor deposition methods are used to lay down the oxide

film on different SOI samples, a choice that has very significant impact on the

behavior of the fabricated structures during subsequent testing (Section 4.4). The

process for transferring the pattern into the oxide is optimized to produce nearly

vertical sidewalls in the oxide, to conserve the linewidths obtained during electron

beam lithography. Figure 4.5 shows a cross section of the substrate with the pattern

transferred into the oxide layer. At this stage, the sample is represented by the first

step in the schematic for the process flow.

In the next process step, the mask pattern is transferred in to the silicon

device layer. This step represents the most significant challenge in developing the

fabrication process. Forming the laminate composite structure requires etching high

aspect ratio trenches in silicon. These trenches need to have almost vertical sidewalls

in order that the oxide will fill them without leaving an interface or voids running

through the compressive layers, and avoiding the formation of a depression on the

top surface of each oxide layer where the two curved oxidation fronts growing out of

the sides of the trench meet. For the microscale featured composites, the silicon etch

step used the Bosch process, which alternates an etching species and a passivating

species in an inductively coupled plasma over the substrate. The nature of this

process allows a great deal of control over the sidewall profile and roughness by

changing various process parameters. Experiments have shown that the Bosch

process becomes ineffective when the width of the trenches falls below 0.5 碌m.

Chlorine-based etches have been shown [4.1, 4.2, 4.3] to be effective in etching deep




91
submicron, high-aspect-ratio features in silicon. The disadvantage of this process is

that it does not involve a passivating species. Therefore any anisotropy in the etch is

a result of the Cl- ions etching the silicon attack horizontal surfaces much more than

they do any vertical surfaces. A high bias on the substrate, low gas pressure and high

ICP power are all factors that promote this anisotropy. A large parameter space for

these factors along with gas flow rates was explored to obtain the optimum

conditions for etching the desired sub-100-nanometer, high aspect ratio features in

silicon. The optimal process allowed trenches as narrow as 45 nanometers to be

etched with an aspect ratio (width: depth) higher that 1:20. Moreover, the process

offered high selectivity with respect to the masking oxide layer, which allows the

thin (300nm) oxide mask layer to be sufficient masking the etch down to the buried

oxide layer, which serves as an etch stop. This high aspect ratio etch process

development is a significant achievement in itself, besides the leverage it provides

towards the fabrication of nanocomposite structures. Figure 4.6 is a SEM image of

the cross section of the substrate with trenches etches into the silicon layer. At this

stage, the substrate is in step 2 of the process schematic of Figure 4.2.

The subsequent steps in the composite fabrication process are relatively

straightforward. The samples are oxidized in pure oxygen at 1000潞C to grow a 鈥渄ry鈥?

oxide layer on the two opposing faces of the trenches. The oxidation is timed to

slightly exceed the time required for growing an oxide layer of half of the trench

width. The oxygen flow is then replaced by a nitrogen flow, and the temperature

elevated to 1100潞C. The samples are annealed in this condition for 10 hours to




92
ensure that the two oxide fronts growing from the sides of the trench merge

completely to form a continuous block of composite material. As discussed earlier,

when these samples are cooled to room temperature, the oxide layers start to develop

a residual biaxial stress starting below approximately 960潞C [4.4, 4.5]. At room

temperature, the silicon layers have a small residual tensile stress, and the oxide

layers a larger residual compressive stress in them. Figure 4.7 is an SEM image of

the oxide-filled trenches on the SOI substrate.

The next stage in the process is the critical step, as it uses conventional low-

cost optical lithography to define the final structures to be fabricated on the wafer. In

this step, the areas that form the structures are defined in a photosensitive polymer

film spread on the sample surface. Figure 4.8 shows a schematic of the optical

lithography mask and its alignment to the underlying trench pattern that makes up

the composite layers, as well as the boundary trench that defines the outline of the

structures to be fabricated. The optical mask covers those patterns, along with a

small border margin around each structure. This is the key to the process of using

trenches to define the border of micro/nano structures.

As seen in steps 5 and 6 of the process flow, the subsequent anisotropic

etching processes remove all the material in the device silicon layer, the buried oxide

layer and a certain depth of the handle silicon layer in the areas not protected by the

resist mask. The silicon layers are etched in using the BOSCH process and the buried

oxide layer is etched in the same anisotropic reactive ion etch process used to define

the trench patterns in the top oxide mask. For the cantilever structures, the handle




93
silicon layer is etched to a depth of 60碌m to allow the necessary depth for the

cantilevers to bend. Figure 4.9 shows an SEM image of an unreleased cantilever

structure, corresponding to step 6 in the process schematic.

The next step of the process flow is a silicon anisotropic etch that is used to

undercut the cantilever structure, and also to etch away the undesired silicon border

around the structures. This uses the same conditions as the silicon etching step in the

BOSCH process, but removes the passivating gas flow steps that lead to the

anisotropy in the etch. The silicon in the desired structure is protected from the side

from the etching gas by the oxide in the trench that forms the outline of the structure.

The buried oxide layer protects the structure from the bottom, and the surface resist

coating protects it from the top. If the alignment of the second mask layer is well

done, this process ensures extremely good compliance of the final structure to the

design geometry. Since the optical lithography mask only has to overlap the areas to

be defined by the final structure, this allows features much smaller than can be

developed by optical lithography to be fabricated. Moreover, at this stage, a

suspended cantilever structure has been fabricated, that is completely enclosed in a

鈥渂ox鈥? of thermal silicon oxide. This has significant implications on the fracture of

the final structure, which will be discussed later. Figure 4.10 (a) and (b) show a

cantilever structure that has been suspended, but is still enclosed by oxide on the

sides and bottom, and a coating of polymer on top.

The second last step of the fabrication process involves dipping the sample in

Hydrofluoric Acid to remove the silicon oxide layers on the sides and the bottom of




94
the structures. The etch rate of silicon oxide in various concentrations of HF has

been very well characterized, and it is possible to etch away the buried oxide layer

underneath the cantilevers without damaging the trench oxide. Moreover, trenches

that form the oxide layers are tapered at the bottom, which leaves a very small access

area for the HF to etch the oxide layers in the trenches, thus slowing down any

etching that may otherwise occur. The sidewall trench, as seen in the process flow, is

deliberately designed to be much wider. This ensures that the sidewall trench does

not get completely filled with oxide. The etching solution, therefore is not restricted

to attacking the oxide layers in this trench only from the bottom, but can also attack

it laterally. This ensures that the all the sidewall oxide gets removed, leaving silicon

sidewalls for the cantilever structures.

In the final step, the photoresist layer coating the surface of the structures is

removed in a stripping solution at high temperature. The sample may also be

exposed to an oxygen plasma to remove the photoresist layer, but this methods

carries the risk of damage to the structures from bombardment by the high energy

oxygen ions. The liquid stripping method is therefore preferred. Figure 4.11 (a) and

(b) show two suspended cantilever structures of the nanocomposite material. The

alternating layers of silicon and silicon oxide are clearly visible. The dimensions of

the structures conform very well to design specification, which very advantageous

when performing subsequent load testing on these structures. The 鈥渂ite鈥? that

appears on the right edge at the end of the cantilevers is a results of imperfect

alignment of the trench patterns to the later optical lithography mask. It does not




95
affect the analysis because the load is applied away from the end of the cantilever

beams, which implies that the region around the bite is essentially stress-free.

Various combinations of tensile to compressive layer thickness are fabricated

by this process to measure the fracture properties against these parameters. Table 4.1

shows the various combinations fabricated. Cantilever geometries of various

dimensions of each of these composite geometries are fabricated to make allowances

for any unforeseen limitations of the testing apparatus. Cantilevers of 5碌m-10um

width, 30碌m length and approximately 1碌m thickness (the thickness of the device

layer in the substrate) are fabricated. The cantilevers that employ the thermal oxide

mask are slightly thinner (~870nm) because of the silicon consumed in the growth of

the mask oxide layer. The cantilevers are typically suspended about 60碌m above the

floor of the die cavity during the extension and release processes, to allow sufficient

depth for cantilever deflection.


4.3 Fracture Testing Method

The nanocomposite structures fabricated by this process are tested in a

similar manner as the microcomposites described in Chapter 2. However, these

structures are much smaller, and therefore require some important modifications to

the testing process to be able to perform the desired property measurements. A

nanoindentation tool is used due to the precise control it allows over positioning and

force application on the test structures that it allows. The tool, modified to use a flat

punch indentation tip, is used to to apply a uniform force across the width of the




96
cantilevers. This also ensures that the samples are not damaged and prematurely

fractured due to any indents that the tool tip may make on the test structures.

The Hysitron Nanoindenter庐 tool used in the testing procedure allows a

maximum force of 10mN to be applied, with a resolution as low as 10 nanonewtons.

The tool applies force using a capacitive transducer, and digitally filters out any the

stiffness of the transducer out of its load-displacement measurements. It can measure

displacement up to 5碌m, with a resolution 0.2nm. It can be used to apply pre-

programmed loading and unloading sequences in test structures, with the tip moving

entirely under load control. Slow loading rates can be applied that ensure that no

fracture occurs due to shock loading. The tool also allows automation of multiple

loading and sample positioning sequences, which allows for testing of a large

number of structures in a short amount of time.

The fracture tests performed on the nanocomposite cantilevers are very

similar to those described in Chapter 2, for the microcomposites. In essence, each

cantilever beam is subject to end-point loading, until fracture. The load-at-fracture

and the load-displacement curve for each beam are used to determine the fracture

stress at the point of failure. There are, however, some important differences. Due to

the processing sequence followed for the fabrication of these cantilever beams, each

beam is 鈥渦ndercut鈥? beyond the point of support of the beam, as shown in Figure

4.12. Is it possible that this alters the load displacement characteristic of these beams

from that of an ideal cantilever beam, which in turn has implications on the

calculation for fracture stress in each sample based on load-to-fracture




97
measurements. To correct for this, the cantilever structures are delineated during the

electron beam lithography process with the outer boundary extending well beyond

the point where the beam meets the support structure (Figure 4.3). This ensures that

the cantilever structure is mechanically disconnected from the rest of the device

layer 鈥渟ill鈥? that is undercut. Thus, loading and deflecting the cantilever beam does

not load or cause deflection in the rest of the undercut structure. Also, several finite

element simulations of cantilever beams with undercut support structures, as seen in

Figure 4.13 are performed. These calculations show that the stresses in the force-

displacement behavior of the cantilevers, and the stresses generated in the beams do

not vary significantly from those for an idealized cantilever beam structure. This

allows the use of an idealized cantilever beam model to calculate the stresses in the

beam during loading and makes the analysis more straightforward.

The undercutting of the support structure still changes the effective length of

the cantilever beam. It is not possible to know the depth of the undercut for each

structure a priori. Measuring the undercut by imaging each sample in an SEM is very

cumbersome. To address this issue, a test for measuring the effective length of each

cantilever beams is performed prior to the fracture tests. The testing sequence

performed on each beam is as follows:

Step 1: Load the cantilever at an arbitrary point with a small (~100碌N) load; obtain a

load-displacement line slope for this loading.

Ebh3
F
m1 (4.1)
)3
4( L
1




98
Step2: Move the sample by a known (usually 1碌m) distance , so that point of load

application is closer to the cantilever support, thus reducing the effective cantilever

length.

Ebh3
F
m2 (4.2)
4( L)3
2




Step 3: The effective length of the cantilever beam when loaded at this point, from

(4.1) and (4.2) is

L (4.3)
13
m2 m1 1

The Young鈥檚 Modulus of the beam material can also be calculated from this

measurement.

4 L3 4 Effective Length 3
E m2 2nd slope (4.4)
bh3 b h3

Since the width of the cantilevers and their thickness conforms closely to design

specifications, this methodology ensures that parameters that are used to calculate

fracture stresses for the sample are all carefully controlled, or measured quantities.



Step 4: Deflect the cantilever beam until fracture, record load-displacement curve,

and load-at-fracture.




99
4.4 Results and Discussion

The fracture tests performed as described above produce some very

significant information about the properties of the single crystal silicon, the

nanocomposite material and the effects of the process methods on their properties.

The first data obtained measures the effective length of each cantilever beam, from

which the undercut depth can be estimated. The measurements show the undercut

depths for numerous beams to be very consistent across multiple cantilevers on the

same sample die, which reflect the identical etching process that each of the beams

have undergone. The undercut values also match well with the undercut

measurements from SEM images of one of the samples. Moreover, the undercut

measured for beams of different widths are consistent with the different release etch

time used in their fabrication. The consistency of these measurements for effective

length of the cantilever beams lend confidence in the testing method described

earlier.

The results from the fracture tests on the nanocomposite are shown in Figure

4.14, Figure 4.15 and Figure 4.16. The first data set shows the fracture stresses

measured for various composite geometries. The minimum values of stress at which

any of the cantilevers made of each combination tensile and compressive layer width

fractured is compared with the threshold stress predicted from the updated

mathematical model. The average fracture stress of all of the cantilevers in each set

is also shown on the same plot. The minimum and average of the fracture stress

values for the monolithic silicon cantilevers are shown alongside for comparison.




100
The data is organized by an x-axis consisting of the line width and spacing

combination used in the electron beam lithography of each cantilever geometry set.

This organization is preferred over sets of compressive and tensile layer width,

because the e-beam lithography data represents more relevant engineering

information about the fabrication of each composite geometry, i.e. the threshold

strength attainable by a certain composite geometry is related directly to the

parameters used in its fabrication. The actual linewidths obtained from each set of

line width and spacings may be read from Table 4.1.

Figure 4.14 clearly shows that for the cantilever structures fabricated using

thermal oxide as a mask, the minimum measured fracture stresses in each data set is

higher than the threshold stress predicted from theory. In this sense, the concept of

introducing regions of compressive stress to improve the fracture behavior of a

material has been shown to be valid, even at extremely small scales. Moreover, the

minimum values of fracture strength are extremely high, falling in the range of 2000

鈥? 3000 MPa. However, the minimum fracture stress value measured from monolithic

silicon are also extremely high, approximately 2700 MPa. This value detracts from

the evidence for the role of the composite geometry achieving an improved fracture

values.

Figure 4.15 and Figure 4.16 offer more insight into the impact of the

compressive layers in preventing failure due to pre-existing flaws in silicon. These

measurements are conducted on cantilever structures where a chemical vapor

deposition (PECVD) methods has been used to deposit the mask oxide film for




101
defining and etching of the cantilever structures. In Figure 4.15 the minima in the

measured fracture stress values for the composite cantilevers is in the range 1800-

2500 MPa, and is higher than the predicted threshold strength for each set of layer

width parameters. The minimum value of fracture stress for the monolithic silicon

cantilevers is much lower, ~1000 MPa. Figure 4.16 shows a direct comparison of the

minimum fracture stress values obtained for the monolithic silicon, and the

composite cantilever structures. It shows that the minimum fracture stress measured

for the monolithic silicon cantilevers is significantly different for the thermally oxide

masked and the CVD masked structures. In contrast, the minima for the composite

structures are lower but not significantly different for the CVD masked structures

versus those from the thermally masked structures. Moreover, all of the minima

values are above the threshold strength predicted from the mathematical model.

There is a wide range of average fracture stress values reported in the

literature for monolithic silicon, from as low as 300 MPa to as high as 17.5 GPa [4.6,

4.7, 4.8, 4.9, 4.10, 4.11, 4.12, 4.13, 4.14, 4.15, 4.16]. The variance in the minimum

fracture stress at which some silicon microelements fail over the tests conducted by

these investigators is even larger, starting at 鈥減ractically zero strength鈥? [4.8]. This

variability in the reported values of fracture stress can be attributed to the significant

role of the size scale of structures tested [4.9], processing methods [4.13] and surface

coatings [4.7] on the fracture properties obtained for the material. The values of

threshold stress for the silicon cantilevers are consistent with results from earlier

research on similarly sized structures [4.9]. The processing method employed in




102
fabricating the test structures seems to play a large role in determining the fracture

properties of silicon microelements. The high values for the fracture stresses

obtained for the thermally masked monolithic silicon cantilever is consistent with the

fact that the in processing method followed here, the final silicon structures are never

exposed to the environment until the point where the oxide 鈥渂ox鈥? protecting them is

dissolved in Hydrofluoric Acid. Thus, the silicon microstructures are always

protected from any mechanical or chemical effects of etching solutions or gases,

which may damage the structure and leave flaws that concentrate stress and lead to

failure at low applied loads.

In fact, the top surface of the wafer (and therefore, of the cantilever

structures) is the only surface that is ever potentially exposed to environmental

effects that may leave microscopic scratches or similar damage. The superior

fracture properties of silicon microelements fabricated from the top (polished)

surface of a wafer as compared to those fabricated from the bottom (rough) side have

been demonstrated before [4.11, 4.12], showing the influence of scratches and

associated flaws on the fracture stress of silicon structures. However, for the

thermally masked structures, even this mechanism for the existence of pre-formed

flaws is effectively eliminated due to the thermal oxidation at the surface. The

oxidation process can eliminate voids in two ways: i) the volume expansion

occurring during oxidation can simply fill in microscopic scratches present on the

surface ii) as the mask oxide layer is removed during the etch process, the

preexisting scratches can be reduced in depth, or even eliminated completely. The




103
improved fracture properties of pre-oxidized silicon structures has also been

demonstrated before [4.7].

This hypothesis for the influence of the process flow on the fracture

properties of silicon microelements is given further credence by the relatively low

fracture strength of the CVD oxide masked structures. Deposited oxide films cannot

remove pre-existing scratch-like flaws in on the surface of a silicon wafer in the way

thermal oxidation can. Therefore, such flaws are likely to carry forward to the final

microcantilever structures being tested. The low fracture toughness of silicon now

plays its role, as the top surface of the cantilevers is the site of maximum tensile

stress in the loading mode employed. Any pre-existing flaws will act as stress

concentrators and cause failure at relatively low loads due to the lack of mechanisms

to relieve these concentrated loads.

The similarity in the fracture strengths (Figure 4.16) measured for the

thermal oxide masked and CVD oxide masked nanocomposite structures can now be

viewed with more clarity. The compressive oxide layers are designed to prevent any

cracks from propagating through the structures at stress values lower than the

threshold stress. This phenomenon operates in two ways: i) the process of dividing a

monolithic material into tensile and compressive layers by etching multiple adjacent

trenches limits the size of the flaws that remain in the system to the width of the

鈥渢rench-spacing鈥? layers and ii) the compressive stress in alternating layers raises the

applied stress levels required to propagate a crack through these layers.




104
Both of these mechanisms are operative in the thermal oxide masked, as well

as the CVD oxide masked composite cantilever structures. A third mechanism, the

surface oxidation used for masking, further removes the probability of retaining

surface flaws in a silicon wafer in the thermal oxide masked structures. This

mechanism is absent in the CVD masked structures. Nevertheless, these structures

display a minimum fracture strength that is very similar to the flaw-removed,

thermal oxide masked structures, as well as above the theoretical threshold strength

prediction for the material system and geometry. These are strong indications of the

extension of pre-existing cracks in the silicon layers being arrested in the

compressively stressed oxide layers. This data, then, indicates that the crack arrest

mechanisms demonstrated by Rao et al. [4.17] in ceramic structures are operative in

this silicon and silicon oxide nanoscale laminar composite material.

This finding has significant implications for the design of silicon-based

microstructures. The composite architecture seems to offer a threshold strength

below which failure does not occur. In addition, the fabrication process detailed here

allows microstructures to be fabricated from the same silicon substrates as

conventional silicon microstructure devices, without altering the processing

infrastructure. Therefore, it offers microscale structure and device designers a useful

tool for enhancing the mechanical reliability of their designs. This enhancement is

likely to apply both to the yield obtained from the process, by virtue of reduced

failure during process handling, as well as reduced susceptibility to inadvertent

shock or impact loading during service. The most direct application site for the




105
composite architecture may be at flexures in microdevices that deform to allow the

dynamic behavior of the device and carry the elastic strain energy of the deflection.

Another potential mechanism for performance improvement offered by use

of a composite architecture is by improved response to processing induced flaws in

microstructures. Plasma etching methods are capable of causing damage to the

exposed silicon surfaces leaving flaws that can act as stress concentrators during

service. Wet etching methods for silicon are usually crystallographically selective

and can leave sharp edged voids that are more detrimental to the fracture properties

of the final structures [4.18]. Using a composite geometry, therefore, potentially

allow the use of processing methods that would otherwise not yield viable devices.

Is it also significant that because of the inherent reduction in the size of

permissible flaws due to the trench etching and subsequent filling process sequence,

the fracture strength offered by this composite geometry is no longer a function of

the size scale of the final structures. Rather, it is the size scale of the laminae in the

structure that define the fracture properties. It may therefore be possible to fabricate

much larger dynamic microstructures than the usual narrow and long flexure

elements. For instance, diaphragm-like structures that would otherwise be very prone

to fracture in case of a flaw being present, can be more viable in service by using a

composite architecture.




106
4.5 Summary

The results obtained from the fracture testing of the composite cantilevers

with sub-100-nanometer laminae widths indicate the active presence of a damage

tolerance phenomenon. None of the composite cantilevers fails below the threshold

stress predicted for its particular geometry. However, the identical monolithic silicon

cantilevers fabricated on the same die using a thermal oxide mask also display very

high fracture stresses before failure. The strongest indication of an active crack

trapping mechanism in the composite structures is the high fracture strength

displayed by CVD oxide masked composite structures, as compared to the low

fracture strength of identical monolithic silicon structures. The hypothesis proposed

is that the CVD masked structures retain the scratch-like flaws that are likely to be

found on the original silicon substrate, while they are removed or rendered

ineffective as stress concentrators in the thermal oxide masked structures. The high

fracture toughness of the CVD masked structures therefore indicates that pre-

existing flaws may be being blocked from extending through the structure by the

presence of the compressive stress layers.

The processing method described in this chapter is also an important

development for MEMS design and fabrication. This method has three important

advantages. (i) It allows the fabrication of dynamic MEMS devices that use the

composite geometry at critical flexure points, to improve the mechanical reliability

of the devices; (ii) It allows the fabrication of devices that are much smaller in size

as compared to conventional MEMS devices. The high cost of electron-beam




107
lithography for the writing device patterns is significantly reduced by patterning only

the boundary lines of the required device, rather than the entire area; and iii) these

methods can be used to build monolithic silicon structures with better fracture

properties than those produced by conventional methods. This method encloses the

final silicon structure in a coating of thermal silicon oxide that protects it from

process-induced damage and leads to better fracture properties.




108
4.6 Tables

E-Beam Tensile Layer Compressive Layer
pattern
Beam Trench Threshold
exposed
Width on Width on Layer Lamina Residual Lamina Residual Strength
(line
mask mask thickness width Stress (T) width Stress (C) from Model
spacing,
(nm) (nm) ratio (nm) (MPa) (nm) (MPa) (MPa)
width), nm
(500,60) 440 60 3.66 34 123
392.8 107.2 1539
(500,80) 420 80 2.50 47 118
357.0 143.0 1451
(500,100) 400 100 1.80 63 113
321.3 178.7 1369

(300,60) 240 60 1.80 63 113
192.8 107.2 1752
(300,80) 220 80 1.10 93 102
157.0 143.0 1594
(300,100) 200 100 0.68 130 88
121.3 178.7 1452

(180,60) 120 60 0.68 130 88
72.8 107.2 1860
(180,80) 100 80 0.26 219 57
37.0 143.0 1590

(150,60) 90 60 0.40 179 71
42.8 107.2 1853


Table 4.1: Geometry and predicted properties of fabricated nanocomposites




109
4.7 Figures and Illustrations




Figure 4.1: Threshold stresses predicted for composites with sub-100 nanometer layer widths.
These numbers are generated from the theoretical model described in Chapter 3.




110
Figure 4.2: A schematic of the process flow used for fabricating nanocomposite microcantilever
structures.




111
Figure 4.3: 鈥淶ero-width鈥? line patterns for a nanocomposite cantilever (left) and a monolithic
silicon cantilever (right) as written in a polymer film using an electron beam. The 鈥渮ero width鈥?
lines in the pattern emerge as narrow trenches in the resist on development.




112
Figure 4.4: Scanning Electron Micrograph of the cross section of a patterned electron beam
resist film on an SOI substrate. The top film, with a thickness of ~350 nm is the resist. The SOI
substrate has been thermally oxidized to grow the ~300nm oxide film lying underneath the
resist. The device silicon layer, the buried oxide layer, and the handle silicon can also be seen in
the image.




113
Figure 4.5: Scanning Electron Micrograph of the cross section of the substrate with the pattern
transferred into a mask oxide layer. The remnants of the resist can be seen on top of the oxide
layer. The small width of the trenches in the oxide is notable. The device silicon layer, the buried
oxide layer, and the handle silicon can also be seen in the image.




114
Figure 4.6: Scanning Electron Micrograph of high aspect ratio trenches etched into the silicon
device layer. The trench widths are approximately 50 nanometers. The mask oxide left over
after the etch is visible on the substrate surface.




115
Figure 4.7: SEM image of the cross section of oxide-filled trenches, forming the alternating
compressive and tensile layers in a block of composite material.




116
Figure 4.8: A schematic of the optical mask pattern (in red) of two cantilevers and their
alignment to the underlying trench pattern on the substrate. The optical mask protects the
entire cantilever and a small border around it during subsequent etching processes. These
silicon borders are then removed in an isotropic etch, during which the structure is protected by
the oxide covering the sidewalls of the boundary trench pattern.




117
Figure 4.9: SEM image of an unreleased cantilever structure. The final desired structure is
buried between the top resist layer, and the buried oxide layer just underneath. The scalloping
from the anisotropic BOSCH process used to etch into the handle layer is clearly visible in the
sidewalls.




118
Figure 4.10 (a, top) and (b, bottom): Two SEM images of a suspended cantilever structure with
the protective photoresist and oxide layers. The final cantilever structure sandwiched between
the layers is clearly visible in the bottom image.




119
Figure 4.11 (a:top) and (b:bottom): SEM images of final suspended nanocomposite cantilevers.
The size of the final structures conforms very well to initial design due to the sidewall trench
etch and oxidation utilized in the process flow.




120
Figure 4.12: SEM image showing the undercutting of the cantilever beam support structure
occurring during the fabrication process. This non-idealised geometry may alter the load
displacement characteristic of these structures from those of an geometrically perfect cantilever
beam.




121
Figure 4.13: Finite Element Model of the undercut support structure of a cantilever beam.




122
Figure 4.14: Fracture strength results from tests on the thermal oxide masked cantilevers. The
composite structures of all layer width ratios display strengths higher than the predicted
threshold strength. The strength displayed by the monolithic silicon structures is remarkable.




123
Figure 4.15: Fracture testing results from the chemical vapor deposition generated oxide
masked structures. The minimum fracture strength displayed by the composite structures is
higher than the predicted threshold strength. The minimum fracture strength of the monolithic
silicon structures is lower, around 1000 MPa.




124
Figure 4.16: A comparison of the fracture properties of the thermal oxide masked structures
and the CVD oxide masked structures. The fracture strength of the composites does is not
altered significantly, while the fracture strength of the monolithic silicon structures is markedly
different for the two processing methods.




125
4.8 References

[4.1] M. Y. Jung, S. S. Choi, J. W. Kim, and D. W. Kim, "The influence of He addition
on Cl-etching procedure for Si-nanoscale structure fabrication using reactive ion
etching system," Surface Science, vol. 482, pp. 1119-1124, 2001.

[4.2] W. C. Tian, J. W. Weigold, and S. W. Pang, "Comparison of Cl2 and F-based dry
etching for high aspect ratio Si microstructures etched with an inductively
coupled plasma source," Journal of Vacuum Science & Technology B, vol. 18, pp.
1890-1896, 2000.

[4.3] J. W. Weigold, W. H. Juan, and S. W. Pang, "Dry etching of deep Si trenches for
released resonators in a Cl2 plasma," Journal of the Electrochemical Society, vol.
145, pp. 1767-1771, 1998.

E. P. Eernisse, "Viscous-Flow of Thermal SiO2," Applied Physics Letters, vol. 30,
[4.4]
pp. 290-293, 1977.

F. P. Eernisse, "Stress in Thermal SiO2 During Growth," Applied Physics Letters,
[4.5]
vol. 35, pp. 8-10, 1979.

[4.6] F. Ericson and J. A. Schweitz, "Micromechanical Fracture Strength of Silicon,"
Journal of Applied Physics, vol. 68, pp. 5840-5844, 1990.

[4.7] S. Johansson, F. Ericson, and J. A. Schweitz, "Influence of Surface-Coatings on
Elasticity, Residual-Stresses, and Fracture Properties of Silicon Microelements,"
Journal of Applied Physics, vol. 65, pp. 122-128, 1989.

[4.8] S. Johansson, J. A. Schweitz, L. Tenerz, and J. Tiren, "Fracture Testing of Silicon
Microelements Insitu in a Scanning Electron-Microscope," Journal of Applied
Physics, vol. 63, pp. 4799-4803, 1988.

[4.9] T. Namazu, Y. Isono, and T. Tanaka, "Nano-Scale Bending Test of Si Beam for
MEMS," presented at Proceedings of the IEEE thirteenth Annual International
Conference on Micro Electro Mechanical Systems, 2000.

[4.10] J. A. Schweitz and F. Ericson, "Evaluation of mechanical materials properties by
means of surface micromachined structures," Sensors and Actuators a-Physical,
vol. 74, pp. 126-133, 1999.




126
[4.11] C. J. Wilson and P. A. Beck, "Fracture testing of bulk silicon microcantilever
beams subjected to a side load," Journal of Microelectromechanical Systems, vol.
5, pp. 142-150, 1996.

[4.12] C. J. Wilson, A. Ormeggi, and M. Narbutovskih, "Fracture testing of silicon
microcantilever beams," Journal of Applied Physics, vol. 79, pp. 2386-2393,
1996.

[4.13] T. C. Yi, L. Li, and C. J. Kim, "Microscale material testing of single crystalline
silicon: process effects on surface morphology and tensile strength," Sensors and
Actuators a-Physical, vol. 83, pp. 172-178, 2000.

[4.14] C. P. Chen and M. H. Leipold, "Stress Rate and Proof-Testing of Silicon Wafers,"
Journal of the American Ceramic Society, vol. 68, pp. C54-C55, 1985.

[4.15] K. S. Chen, A. Ayon, and S. M. Spearing, "Controlling and testing the fracture
strength of silicon on the mesoscale," Journal of the American Ceramic Society,
vol. 83, pp. 1476-1484, 2000.

[4.16] S. M. Hu, "Critical Stress in Silicon Brittle-Fracture, and Effect of Ion-
Implantation and Other Surface Treatments," Journal of Applied Physics, vol. 53,
pp. 3576-3580, 1982.

[4.17] M. P. Rao, A. J. Sanchez-Herencia, G. E. Beltz, R. M. McMeeking, and F. F.
Lange, "Laminar ceramics that exhibit a threshold strength," Science, vol. 286,
pp. 102-105, 1999.

[4.18] K. Sooriakumar, Wendy Chan, Timothy S. Savage, and C. Fugate, "A
comparative study of wet vs. dry isotropic etch to strengthen silicon
micromachined pressure sensors," presented at Second international symposium
on microstructures and microfabricated systems, 1995.




127
5. Concluding Remarks

This dissertation sought to describe research conducted to develop a nanoscale

composite material for applications in improving the damage tolerance in Micro and

Nano-Electro-Mechanical Systems. These investigations yield several important results,

both direct and indirect related to the originally objectives of the project. These results

are summarized here:

1. The concept of using regions of compressive stress to preventing cracks from

propagating catastrophically across structures to cause failure is indeed effective. An

extension of this idea that uses thin alternating layers of compressive stress in a

laminate arrangement, leading to a threshold stress below which failure will not occur

in a brittle material, is viable in a Silicon and Silicon oxide system. Structures

fabricated from this composite, with layer widths in the sub-100-nanometer range

have been shown not to fail below stresses of approximately 1500 MPa. This

threshold can be used as an effective tool for designing MEMS/NEMS devices that

are exposed to the environment and are subject to shock or impact loading.

2. Surface oxidation plays a role in determining the fracture behavior of silicon

microelements. Surface oxidation seems to limit the probability of carrying surface

microcracks on a silicon substrate through to the final device, thereby improving its

fracture properties.

3. The process flow developed for fabricating the nanocomposite cantilever structures

has several advantages. Firstly, it protects the final structures to be fabricated from

any possibility of process-environment induced damage, and produces structures that

have better geometrical conformance to design, and improved fracture properties.

128
This process flow also allows for the fabrication of more complex micro-electro-

mechanical devices made of this damage tolerant composite material, without

significant altering the raw material, or the processing infrastructure required. Perhaps

most significantly, this process flow permits a significant reduction in the minimum

size of MEMS devices, making true Nano-Electro-Mechanical devices possible, and

cost effective. The costs associated with the electron beam lithography that would be

used to pattern nanoscale devices are significantly reduced by requiring that only the

perimeter, rather than the entire surface area of the device is patterned using the

electron beam.

4. On the theoretical front, an improved closed-form, analytical, predictive model for the

fracture properties of the laminated composite material has been obtained. This model

is based on modeling the effect of the laminar structure of the composite as an

effective anisotropy in its properties with regard to stress fields around any cracks in

the material. This concept of lumping together material inhomogeneity as elastic

anisotropy can lead to simpler models for many other composite systems.



The results from this study will hopefully help to guide future developments in

materials selection and design of Micro-Electro-Mechanical-Systems, and open up new

application areas for them. The possibility of achieving further size scale reductions for

dynamical devices to create true Nano-Electro-Mechanical-Systems is a very exciting

prospect for the future.




129
6. Appendix

6.1 The Oxidation of Silicon

The ease of use of the process of thermal oxidation of silicon for the production of

the compressive layers of silicon dioxide is one of the motivating factors behind the

development of the composite material described in this dissertation. Upon exposure

to oxygen, the surface of a silicon wafer oxidizes to form silicon dioxide. This native

silicon dioxide film is a high-quality electrical insulator, besides the potential for flaw

filling and removal offered by thicker oxide films.

Thermal oxidation of silicon is easily achieved by heating the wafer to a high

temperature, typically between 850潞C and 1150潞C, in an atmosphere containing either

pure oxygen or water vapor. Both water vapour and oxygen diffuse more easily than

silicon through silicon dioxide at these high temperatures. As a result, the reaction

between silicon and oxygen always takes place at the silicon:silicon dioxide interface,

and result in a chemically pure film of oxide being formed. The chemical reaction

occurring at the silicon surface with dry oxygen is

Si + O 2 SiO 2


when the oxidation is performed in an atmosphere containing water vapor, the

reaction is

Si + 2H 2 O SiO 2 + 2H 2


Silicon is consumed as the oxide grows, and the oxide formed occupies a greater

volume than the silicon consumed. The final oxide layer is approximately 56% above

the original surface of the silicon and 44% below the surface. This property allows


130
the trench oxidation process to fill the trenches and form a continuous composite

material block.

The thicknesses of the films produced by the oxidation process are accurately

predicted by the Deal-Grove model, for thicknesses greater than about 30nm. This

model considers the diffusivities of various species, the reaction rate constants of the

interfacial reactions, and the partial pressure of oxygen in the furnace atmosphere as

the controlling parameters for the reaction. The model yield the following governing

equation for the film thickness

2
tox Atox B(t )


with two important limiting forms. For short oxidation times, it yields the linear rate

model

B
tox (t )
A

At longer times, the parabolic rate model prevails


tox B (t )


The parameters A, B and are well known for a variety of process conditions, and

are often quoted in preference over more fundamental parameters such as diffusivity,

that go into calculating them. The seminal reference for silicon oxidation is Deal B.E.

and Grove, A.S. 鈥淕eneral relationship for thermal oxidation of silicon鈥?, Journal of

Applied Physics, 36, (12), 1965, p 3770. Another useful resource for calculating the

oxidation times/thicknesses is found on the world wide web at

http://www.lelandstanfordjunior.com/dealgrove.html.


131
6.2 Process Flow for Microscale Composite Cantilevers

A. Substrate Specifications
4 inch prime wafers, N-type Si wafer, resistivity 5-10ohm-cm, thickness 410-435碌m,
Double Side polished
Vendor: University Wafer

B. Oxide mask deposition
PECVD oxide mask, 1.2碌m thickness
Tool: PECVD Plasmatherm 790
Standard Oxide deposition recipe, operating at 250潞C for 1.2碌m oxide

C. Lithography
C.1 Resist Specifications
SPR 950 positive resist
Spun 3500rpm for 30 sec for 0.8碌m thickness

C.2 Exposure schedule
Prebake: 90潞C for 1 min
Exposure: 1.7 sec in GCA6300 i-line stepper
Postbake: 100潞C for 2 min

C.3 Development
Rinse in De-ionized water for 1 min
Develop in MF 701 for 2min 20 sec

D. Trench Etching
D.1 Mask Oxide Etch
40 min standard oxide etch recipe in AMT 8100 Hexode plasma etcher, at the
Stanford Nanofabrication Facility

D.2 Resist Clean
Tool: MATRIX Oxygen plasma resist stripper at the SNF
CLEAN recipe for 4 mins to strip all resist

D.3 Silicon Etch
Tool: STS Deep RIE etcher at SNF
SmooDEEP recipe, 23 min Silicon Etch
E. Trench Oxidation
E.1 Trench Oxide fill
Thermal Oxide, Self limiting thickness
Tool: Tystar Oxidation Furnace


132
Wet Oxidation for 2 hours @ 1100 C for 1.78碌m oxide layers
Wet Oxidation for 6hrs 50 min @ 1100 C for 1.78碌m oxide layers

E.2 Oxide high temperature anneal
Tool: Tystar Oxidation furnace
Anneal @ 1100 C for 10 hours

F. Backside Photolithography
F.1 Resist Specifications
SPR 220-7. Positive resist
Spun 3000rpm for 30 sec for 7.5 碌m thickness

F.2 Exposure schedule
Prebake: 90潞C for 100 sec + 100潞C for 100 sec
Exposure: 30 sec exposure in Contact Aligner MJB UV 400 after backside alignment
using Infrared optics
Postbake: 115潞C for 90 sec

F.3 Development
Develop in MF 701 for 90 sec

G. Backside Deep Silicon release etch
Tool: Tool: Unaxis Bosch Etch Tool
2 hrs 30 min etch using standard Bosch recipe

H. Floor Oxide removal
HydroFluoric Acid dip for 20 sec to remove all floor oxide


6.3 Process Flow for Nanocomposites cantilevers

A. Substrate Specifications
4 inch Silicon On Insulator (SOI) wafers from Soitec Inc.
Device layer thickness: 1000 nm
Buried Silicon Oxide Layer thickness: 400nm
Handle wafer thickness: 550 m

B. Oxide mask deposition
Thermal Oxide mask, 300nm thickness
Tool: Tystar Oxidation Furnace
Dry Oxidation for 15 hours @ 1000 C

Or


133
PECVD oxide mask, 350nm thickness
Tool: PECVD Plasmatherm 790
Standard Oxide deposition recipe, operating at 250潞C for 350nm oxide

C. E-Beam Lithography
C.1 Resist Specifications
ZEP Electron Beam positive resist, 1:1 dilution
Spun 5000 rpm for 30 seconds for 3000 A thickness

C.2 JEOL E-Beam Writer exposure schedule
The exposure schedule for the SOI substrate, to achieve a desired linewidth, using a
zero-width line, is shown in Figure 6.1.




Figure 6.1: Exposure Schedule for obtaining desired linewidths on an SOI substrate. The equation
above the graph is the best fit polynomial expression to the data.



C.3 Exposure and Development
60 second dip in Amyl Acetate with mild agitation
15 seconds in Methyl-Iso-Butyl-Ketone (MIBK)
Isopropanol wash


134
De-Ionized water wash

D. Trench Etching
D.1 Oxide Etch
Tool: Panasonic ICP Etch
1min 48 sec etch in 鈥淪iOVert鈥? Recipe

D.2 Silicon Etch
Tool: Panasonic ICP Etch
9min etch in 鈥淎lok_Si1鈥? recipe
Flow Rates: BCl3, 3.0sccm, Cl2, 4.0sccm; Ar,, 0 sccm
Pressure: 1.2 Pa
Source Power: 700 W, Bias Power: 80
Etch Rate: ~130nm/min, with selectivity of 3.5 with respect to thermal dry oxide


E. Trench Oxidation
E.1 Trench Oxide fill
Thermal Oxide, Self limiting thickness
Tool: Tystar Oxidation Furnace
Dry Oxidation for 2 hours @ 1000 C

E.2 Oxide high temperature anneal
Tool: Tystar Oxidation furnace
Anneal @ 1100 C for 10 hours

F. Photolithography
F.1 Resist Spin
AZ4330 positive photoresist
Spun 5000 rpm for 30 seconds for 3.2 m thickness

F.2 Exposure and Development
Align mask to trench pattern in Contact Aligner
Softbake for 1 minute @ 95 C
14 second exposure in Karl Suss Contact Aligner
Hardbake for 1 minute @ 105 C
4 min 30 sec development in AZ400K 1:4 dilution

G. Cantilever pattern transfer
G.1 Oxide Etch
Tool: Panasonic ICP Etch
3 min etch in SiOEtch Recipe



135
G.2 Device layer etch
Tool: Unaxis Bosch Etch Tool
1 min 30 sec etch using standard Bosch recipe

G.3 Buried Oxide etch
Tool: Panasonic ICP Etch
3min etch in 鈥淪iOEtch鈥? Recipe

G.4 Extension etch
Tool: Unaxis Bosch Etch Tool
15 min etch using standard Bosch recipe

G.5 Release Etch
Tool: Unaxis Bosch Etch Tool
2 min 30 sec etch using standard release recipe

H. Cantilever release
H.1 Bottom and Sidewall Oxide removal
Etch in Buffer HF 5:1 dilution @ Nanotech HF bench for 1 min

H.2 Photoresist Removal
Soak in AZ300T at 80潞C for 10min to strip photoresist
Soak in 2min Acetone, Isopropanol and DeIonized Water
Sample may be blown dry in Nitrogen without damaging structure




136

Search    ENTER KEYWORD
ALL Chemical Property And Toxicity Analysis PAGES IN THIS GROUP
NAMECAS
dojindo_com---MSDST022TMBZ.asp 81-96-2 54827-17-7
dojindo_com---MSDST031TTHA.asp 81-96-2 869-52-3
dojindo_com---MSDST036TMBZ-PS.asp 81-96-2 02062-46-4
dojindo_com---MSDST037TFPB.asp 81-96-2 79060-88-1
dojindo_com---MSDST203Alq3.asp 81-96-2 2085-33-8
dojindo_com---MSDST402TD19C6.asp 81-96-2
dojindo_com---MSDST419TotalGlutathioneQuantificationKit.asp 81-96-2
dojindo_com---MSDSW201WST-1.asp 81-96-2 150849-52-8
dojindo_com---MSDSW202WST-3.asp 81-96-2
dojindo_com---MSDSW203WST-4.asp 81-96-2 178925-54-7
dojindo_com---MSDSW204WST-5.asp 81-96-2 178925-55-8
dojindo_com---MSDSZ001Zephiramine.asp 81-96-2 147228-81-7
dojindo_com---MSDSZ215Zinquinethylester.asp 81-96-2
engineering_ucsb_edu---Final_Thesis.asp N/A
floraeeb_uconn_edu---duraguard_msds.asp 2921-88-2
floraeeb_uconn_edu---insecticidal_soap_msds.asp 36488-45-5 64-17-5 67-56-1
floraeeb_uconn_edu---wd40_msds.asp 8052-41-3 64742-65-0 124-38-9
fpm_iastate_edu---Bravo.asp 141-43-5 1310-73-2
gunk_com---CO-16L.asp N/A
hardwarestore_com---104767.asp N/A
hardwarestore_com---104769.asp N/A
hardwarestore_com---104772.asp N/A
hardwarestore_com---104776.asp N/A
hardwarestore_com---131110.asp N/A
hardwarestore_com---213603.asp N/A
hasaindustrial_com---101.asp 7681-52-9
hasaindustrial_com---102.asp 7681-52-9
hasaindustrial_com---103.asp 7681-52-9
hasaindustrial_com---104.asp 7681-52-9
hasaindustrial_com---105.asp 7681-52-9
hasaindustrial_com---106.asp 7681-52-9
hasaindustrial_com---107.asp 7681-52-9
hasaindustrial_com---108.asp 7681-52-9
hasaindustrial_com---109.asp 7681-52-9
hasaindustrial_com---110.asp 7647-01-0
hasaindustrial_com---111.asp 7681-52-9
hasaindustrial_com---112.asp 1310-73-2 7732-18-5
hasaindustrial_com---113.asp 7681-52-9
hasaindustrial_com---114.asp 68855-54-9 14464-46-1 14808-60-7
hasaindustrial_com---201.asp 2893-78-9
hasaindustrial_com---202.asp 87-90-1
hasaindustrial_com---203.asp 87-90-1
hasaindustrial_com---204.asp 87-90-1
hasaindustrial_com---205.asp 108-80-5
hasaindustrial_com---206.asp 7778-54-3 13477-36-6 10043-52-4 1317-65-3 1345-62-0
hasaindustrial_com---207.asp 7681-38-1
hasaindustrial_com---208.asp 497-19-6
hasaindustrial_com---209.asp 144-55-8
hasaindustrial_com---210.asp 7784-24-9
hasaindustrial_com---211.asp 10043-52-4

Free MSDS Search ( Providing 250,000+ Material Properties )
Chemcas.com | Ads link:HBCCHEM.INC